1997 03 Pierwsze kroki w cyfrówce

background image

37

Układy cyfrowe

Układy cyfrowe

Układy cyfrowe

Układy cyfrowe

Układy cyfrowe

E

LEKTRONIKA DLA WSZYSTKICH 3/97

P i e r w s z e k r o k i

P i e r w s z e k r o k i

P i e r w s z e k r o k i

P i e r w s z e k r o k i

P i e r w s z e k r o k i

w cyfrówce

część 3

część 3

część 3

część 3

część 3

Na pewno chciałbyś intuicyjnie zrozu−

mieć ich działanie, a jest ono bardzo
proste.

Spróbuj zapamiętać: na wyjściu dwu−

wejściowej bramki EX−OR pojawia się
stan wysoki, gdy na wejściach występu−
ją różne stany logiczne. Natomiast gdy
oba wejścia mają ten sam stan logiczny
(obojętnie czy wysoki, czy niski), na wy−
jściu występuje stan niski.

Bramka EX−NOR działa tylko trochę

inaczej − gdy stan wejść jest jednakowy,
na wyjściu pojawia się stan wysoki, gdy
stany są różne − stan niski.

Inne bramki

Istnieją też elementy logiczne, realizu−

jące jeszcze inne funkcje. Zapewne spo−
tkałeś już określenie EX−OR i EX−NOR.
Elementy takie również nazywamy
bramkami. W literaturze niekiedy są
oznaczane jako bramki XOR lub XNOR.
Elementów tych z pewnością będziesz
używał w swoich układach.

Jest to rzeczywiście proste. Na rysun−

rysun−

rysun−

rysun−

rysun−

ku 10

ku 10

ku 10

ku 10

ku 10 znajdziesz symbole i opis działania
bramek EX−OR i EX−NOR.

Na rysunku 11

rysunku 11

rysunku 11

rysunku 11

rysunku 11 zobaczysz, jak wyko−

nać bramkę EX−OR z bramek NAND.
W praktyce nigdy się tak nie robi, bo−
wiem produkowane są układy scalone
zawierające po cztery bramki EX−OR albo
EX−NOR w jednym układzie scalonym.

Do czego wykorzystasz w praktyce

bramki EX−OR i EX−NOR?

Najczęściej do sprawdzenia, czy dane

sygnały mają takie same poziomy logicz−
ne. Ale nie tylko.

W tym odcinku omówimy bramki

złożone EX−OR i EX−NOR oraz

sprawę logiki ujemnej.

Rys. 10. Bramki EX−OR i EX−NOR.

Rys. 12. Nietypowe wykorzystanie bramek EX−OR i EX−NOR.

Rys. 11. Wykonanie bramki EX−OR z bramek NAND.

background image

38

Układy cyfrowe

Układy cyfrowe

Układy cyfrowe

Układy cyfrowe

Układy cyfrowe

E

LEKTRONIKA DLA WSZYSTKICH 3/97

Logika ujemna

Popatrz teraz na układ pokazany na ry−

ry−

ry−

ry−

ry−

sunku 14

sunku 14

sunku 14

sunku 14

sunku 14. Układ taki może być zastoso−
wany w małej centralce alarmowej. Do
czterech wejść oznaczonych 1...4 dołą−
czone są czujniki. Wejście Z służy do cał−
kowitego wyłączania centralki. Nato−
miast wejścia X, Y umożliwiają włączanie
i wyłączanie pew−
nych stref (na przy−
kład garaż powi−
nien być chroniony
w nocy także pod−
czas obecności do−
mowników). Naru−
szenie

(zwarcie)

k t ó r e g o k o l w i e k
czujnika

wywoła

alarm, o ile tylko
na wejściach ze−
zwalających, ozna−
czonych X, Y, Z,
będzie

występo−

wał stan wysoki.
W stanie gotowoś−
ci

(czuwania),

w poszczególnych punktach układu wy−
stąpią stany logiczne, takie jak podano na
rysunku.

Zauważ, że jeśli naruszony zostan i e

przynajmniej jeden czujnik, zmieni się
stan na wyjściu którejś z bramek ozna−
czonych A, B. Coś tu jakby nie gra: choć
są to niewątpliwie bramki NAND,
w rzeczywistości realizują funkcję OR
lub NOR! Następne bramki, oznaczone
C i D rzeczywiście

realizują

funkcję

NAND − stan wyjścia zmienia się, gdy na
wszystkich
wejściach pojawi się stan
wysoki. Ale bramka oznaczona E znów
pełni jakby funkcję OR czy NOR − poja−

Na rysunku 12

rysunku 12

rysunku 12

rysunku 12

rysunku 12 zobaczysz inną możli−

wość, przydatną w praktyce: w zależnoś−
ci od stanu na jednym z wejść, bramka
EX−OR (lub EX−NOR) neguje sygnał we−
jściowy, albo przepuszcza go bez zmian.
Zapamiętaj właściwość pokazaną na ry−
sunku 12 − przyda ci się, gdy w trakcie
projektowania zagospodarujesz bramki
EX−OR i EX−NOR nie wykorzystane
w swej klasycznej roli.

Może zapytasz jeszcze, czy istnieją

wielowejściowe bramki EX−OR i EX−
NOR? W praktyce spotkasz się tylko
z bramkami dwuwejściwymi. Bramki te
można w prosty sposób łączyć, by uzys−
kać coś podobnego do bramki wielowe−
jściowej, ale stosuje się to bardzo rza−
dko. Istnieją też wielowejściowe układy
zwane generatorami i kontrolerami pa−
rzystości, przeznaczone do systemów
przesyłania danych − ich działanie nieco
przypomina działanie opisywanych bra−
mek.

Uważaj teraz! W starej krajowej litera−

turze lub w publikacjach obcojęzycznych
spotyka się odmienne symbole bramek
(oraz innych układów logicznych). Żeby
nie robić ci wody z mózgu, na poprzed−
nich rysunkach podałem ci najczęściej
spotykane

oznaczenia,

występujące

w większości dostępnych dziś źródeł.

Na rysunku 13

rysunku 13

rysunku 13

rysunku 13

rysunku 13, w pierwszej kolumnie

znajdziesz oznaczenia według dotych−
czas obowiązujących norm krajowych,
w drugiej kolumnie nowe oznaczenia,
zgodne z zaleceniami międzynarodowej
organizacji ISO, które są obecnie wpro−
wadzane w wielu krajach, także u nas.
Przyzwyczajaj się powoli do tych nowych
symboli. W trzeciej kolumnie znajdziesz
dawne oznaczenia, spotykane w starszej
literaturze.

wienie się stanu niskiego na przynaj−
mniej jednym
jej wejściu zmienia stan
wyjścia. Ostatnia bramka, oznaczona F,
realizuje funkcję NAND − zmienia stan
wyjścia, gdy na wszystkich wejściach
wystąpi stan wysoki. Dokładnie to przea−
nalizuj. Coś nam tu przypomina opis dzia−
łania bramki OR i NOR. Jak to rozumieć?

Do tej pory zakładaliśmy, zresztą cał−

kowicie słusznie, że brak napięcia to stan
niski − L, a obecność napięcia (dodatnie−
go) to stan wysoki − H.

Ale przecież jest to kwestia umowy:

równie dobrze moglibyśmy ustalić, że
brak napięcia to stan wysoki, a obecność
napięcia − stan niski. Tym sposobem
doszliśmy do tak zwanej logiki ujemnej.

Jeśli zaglądałeś do podręczników

omawiających technikę cyfrową, to ist−
nieje duże prawdopodobieństwo, że ja−
kiś niepoprawny teoretyk próbował ci na−
mieszać w głowie, omawiając szczegóło−
wo zarówno logikę dodatnią, jak i ujem−
ną. Wydaje się to bardzo skomplikowa−
ne. Ta cała logika ujemna to prawda, ale
praktykującemu elektronikowi może na−
robić w głowie sporo zamieszania i wte−
dy więcej z niej szkody niż pożytku.

Wyjaśniam więc raz na zawsze: we

wszystkich praktycznych opisach i publi−
kacjach z jakimi się spotkasz, a przede
wszystkim w firmowych katalogach cyf−
rowych układów scalonych, stosuje się
oznaczenia i pojęcia związane z logiką

dodatnią,

gdzie

stan niski to napię−
cie bliskie zera,
a stan wysoki to
napięcie bliskie do−
datniego napięcia
zasilania. W zasa−
dzie możesz więc
nie zawracać sobie
głowy logiką ujem−
ną, ale koniecznie
musisz zrozumieć
pewne istotne za−
gadnienie,

które

dało o sobie znać
przy analizie rysun−
ku 14. Oto ono:

Zgodnie z tym,

co pokazałem na rysunku 14 musisz za−
pamiętać, że dowolna bramka AND,
NAND, OR, NOR może pełnić zarówno
swą “przepisową” funkcję, jak też funk−
cję niejako przeciwną.

Może jesteś zaskoczony: jak to jest,

że ta sama bramka pełni funkcję AND
i jednocześnie (tak!) funkcję OR? Teore−
tyk odpowie: tu właśnie wchodzi w grę
logika ujemna. Nie przestrasz się tej lo−
giki ujemnej, jest to bardzo proste −
 spróbuj wyczuć zagadnienie intuicyj−
nie.

Zauważ, że w układzie z rysunku 14

“prawdziwą” funkcję pełnioną przez

Rys. 13. Spotykane symbole bramek.

Dowolna bramka może

w praktycznym układzie pełnić

zarówno swą “przepisową”

funkcję, jak też funkcję niejako

przeciwną. W praktyce

używając bramek jednego typu

(ale muszą to być bramki

z negacją) NOR, bądź NAND,

możesz zrealizować wszystkie

funkcje podstawowe: NOT, OR,

NOR, AND, NAND, a także

wszelkie funkcje złożone.

background image

39

Układy cyfrowe

Układy cyfrowe

Układy cyfrowe

Układy cyfrowe

Układy cyfrowe

E

LEKTRONIKA DLA WSZYSTKICH 3/97

bramki wyznaczają stany spoczynkowe
na wejściach danej bramki. Nieprzypad−
kowo wcześniej do znudzenia wkłada−
łem ci do głowy, że bramka AND i NAND
“zmienia stan wyjścia, gdy wszystkie
wejścia...”, natomiast bramka OR i NOR
− ”gdy przynajmniej jedno wejście...”.
Przemyśl to i spróbuj zrozumieć. Nie ra−
dzę ci natomiast zapamiętywać jakichkol−
wiek tabelek, bo zaplączesz się bezna−
dziejnie.

Teraz już chyba w pełni rozumiesz, że

używając bramek jednego typu (ale mu−
szą to być bramki z negacją, a więc NOR
bądź NAND), możesz zrealizować wszys−
tkie funkcje NOT, OR, NOR, AND,
NAND.

Ma to bardzo ważne konsekwencje

praktyczne.

Niech do ciebie dotrze, że w układzie

z rysunku 14 nie musieliśmy stosować
bramek NOR czy OR − odpowiednio wy−
korzystaliśmy bramki NAND. Przeanali−
zuj rysunek 14 i upewnij się jeszcze
raz, że w rzeczywistości bramki A, B i E
pełnią w urządzeniu funkcje odpowiada−
jące bramce NOR.

To, co ci teraz usiłuję wbić do gło−

wy, jest ogromnie ważne w praktyce −
 jeśli uchwycisz ideę, będziesz potrafił
zbudować dowolny układ, korzystając
wyłącznie z bramek NAND lub NOR.
Oczywiście musisz pomału nabrać
wprawy.

Nie znaczy to jednak, że masz wyko−

nywać układy zawierające tylko bramki
NAND albo NOR. Bez przesady! Zacho−
waj rozsądek. Przejrzyj dokładnie wcześ−
niejsze numery Elektroniki dla Wszyst−
kich czy Elektroniki Praktycznej i zwróć
uwagę, jakie bramki i w jaki sposób wy−
korzystuje się w przezentowanych tam
układach.

Jeśli zajmujesz się techniką

cyfrową, powinieneś o każdej

porze dnia i nocy pamiętać, jak

działają bramki NOT, OR, NOR,

AND, NAND, EX−OR i EX−NOR.

Musisz dokładne rozumieć

działanie bramki jako

sterowanego zaworu.

Powinieneś rozumieć dlaczego
każda bramka NAND czy AND

umożliwia zrealizowanie

funkcji NOR i OR, i jakie to ma

znaczenie praktyczne.

Nie bój się logiki ujemnej − to

nic trudnego; pamiętaj, że

o rzeczywistej funkcji bramki

decydują stany na jej wejściach

podczas “spoczynku”.

Nie ucz się żadnych tabelek −
 staraj się zrozumieć zasady.

W razie potrzeby przygotuj

sobie ściągawkę zawierającą

podstawowe informacje.

W każdym razie zrozumienie, a właś−

ciwie wyczucie i przyswojenie omówio−
nych właśnie zasad, jest bardzo cenne
przy projektowaniu urządzeń cyfrowych
zawierających bramki. Szybko się o tym
przekonasz, jeśli będziesz sam projekto−
wał układy. Może pomyślisz, że nama−
wiam cię do cze−
goś wręcz prze−
ciwnego, niż zale−
cają szkolne pod−
ręczniki. W wielu
szkolnych ćwicze−
niach masz za za−
danie zrealizować
daną wzorem fun−
kcję logiczną przy
użyciu dowolnych
bramek. W prakty−
ce najczęściej by−
wa zupełnie ina−
czej. Przy prost−
szych

układach

nikt nie zastanawia
się nad wzorami,
tylko od razu pró−
buje

narysować

schemat

układu

i na bieżąco zasta−
nawia

się,

czy

układ spełni posta−
wione zadanie i ja−
kich

dostępnych

kostek

trzeba

użyć.

Jeśli masz cier−

pliwość, weź teraz
kartkę i narysuj ja−
kiś niezbyt skom−
plikowany układ lo−
giczny zawierający bramki NOT, OR,
NOR, AND i NAND. Potem spróbuj zreali−
zować układ pełniący takie same funkcje

przy użyciu jednego typu bramek: NOR
albo NAND.

Zakoduj sobie w głowie raz na za−

wsze, że każdą funkcję logiczną można
zrealizować z pomocą bramek NAND czy
też NOR. Używając odpowiednio dużej
ilości takich bramek, teoretycznie mógł−

byś wykonać do−
wolny przerzutnik,
licznik,

dekoder,

a nawet mikropro−
cesor.

Nie

bę−

dziesz tego robił,
bo wcześniej zrobi−
li to za ciebie inni
i masz do dyspozy−
cji wiele cyfrowych
układów scalonych
pełniących najróż−
niejsze

funkcje.

Układy te zacznę ci
p r z e d s t a w i a ć
w jednym z na−
stępnych

odcin−

ków. Wykaż cierp−
liwość: zanim prze−
jdziemy do prze−
rzutników

liczni−

ków, rejestrów i
dekoderów, wcze−
śniej musisz przy−
swoić sobie sporo
rzetelnej wiedzy.
Dlatego w następ−
nym odcinku za−
jmiemy się budo−

wewnętrzną

w s p ó ł c z e s n y c h
bramek i innych
układów

cyfro−

wych oraz praktycznymi konsekwencja−
mi różnic w ich budowie.

Piotr Górecki

Piotr Górecki

Piotr Górecki

Piotr Górecki

Piotr Górecki

Rys. 14. Przykładowy układ logiczny.


Wyszukiwarka

Podobne podstrony:
1997 01 Pierwsze kroki w cyfrówce
1997 02 Pierwsze kroki w cyfrówce
1997 01 Pierwsze kroki w cyfrówce
1997 09 Pierwsze kroki w cyfrówce
1998 03 Pierwsze kroki w cyfrówce
1997 11 Pierwsze kroki w cyfrówce
1997 08 Pierwsze kroki w cyfrówce
1997 06 Pierwsze kroki w cyfrówce
1998 02 Pierwsze kroki w cyfrówce
1998 07 Pierwsze kroki w cyfrówce
Pierwsze kroki w cyfrówce cz06

więcej podobnych podstron