PE Nr 04 99

background image

NR

IND

372161

C

CE

EN

NA

A 3

3,,6

60

0 P

PL

LN

N

IIS

SS

SN

N 1

12

23

32

2--2

26

62

28

8

n

nrr 4

4’’9

99

9 8

81

1

(( ))

P

Prro

og

grra

am

ma

atto

orr m

mii--

k

krro

ok

ko

on

nttrro

olle

erró

ów

w''5

51

1

W

Wzzm

ma

accn

niia

acczz ssa

am

mo

o--

cch

ho

od

do

ow

wy

y 4

4x

x7

70

0 W

W

S

Sy

yn

ntte

ezza

a cczzê

êsstto

ottlliiw

wo

o--

œœccii d

do

o ttu

un

ne

erra

a U

UK

KF

F

W

W³³¹

¹cczzn

niik

k

w

we

en

ntty

ylla

atto

orra

a

M

Miig

ga

ajj¹

¹cca

a ssttrrzza

a³³k

ka

a

background image

Nowe zasady sprzeda¿y p³ytek

drukowanych

– co miesi¹c 3 wysy³ki za

darmo !!!

C

CO

O M

MIIE

ES

SII¥

¥C

C B

BE

EZ

ZP

£A

AT

TN

NE

E O

OG

£O

OS

SZ

ZE

EN

NIIA

A D

DR

RO

OB

BN

NE

E –

C

CO

O M

MIIE

ES

SII¥

¥C

C B

BE

EZ

ZP

£A

AT

TN

NE

E O

OG

£O

OS

SZ

ZE

EN

NIIA

A D

DR

RO

OB

BN

NE

E –

P

PA

AT

TR

RZ

Z IIN

NF

FO

OR

RM

MA

AC

CJJE

E

P

PA

AT

TR

RZ

Z IIN

NF

FO

OR

RM

MA

AC

CJJE

E

N

NA

A S

ST

TR

R.. 1

16

6

N

NA

A S

ST

TR

R.. 1

16

6

Z

ZA

AP

PR

RA

AS

SZ

ZA

AM

MY

Y N

NA

A N

NA

AS

SZ

¥ S

ST

TR

RO

ON

Ê W

W IIN

NT

TE

ER

RN

NE

EC

CIIE

E

W

W

W

W

W

W

W

W

W

W

W

W

..

..

P

P

P

P

E

E

E

E

..

..

C

C

C

C

O

O

O

O

M

M

M

M

..

..

P

P

P

P

LL

LL

Uwaga, uwaga, uwaga !!!

W sprzeda¿y wysy³kowej redakcja PE
oferuje ksi¹¿kê „Mikrokontrolery jed-
nouk³adowe rodziny 51” autorstwa
dr in¿. Tomasza Stareckiego. W ksi¹¿ce
zawarto informacje o kilkudziesiêciu
najczêœciej stosowanych mikrokontro-
lerach obecnie najbardziej rozpo-
wszechnionej rodziny 51. Omówiono
architekturê oraz wewnêtrzne uk³ady
peryferyjne mikrokontrolerów kompa-
tybilnych programowo z 8051. Opis
dotyczy konstrukcji od dawna obec-
mnych na rynku jak i dopiero wcho-
dz¹cych do produkcji.
Objêtoœæ 580 stron.

Cena: 45 z³ + koszty wysy³ki

ul. Jakobinów 35

02-240 Warszawa

tel. 846-31-87 (89)

fax 846-35-70

Produkujemy i sprzedajemy

Ö

Ö Stacje lutownicze z elektroniczn¹

stabilizacj¹ temperatury grota

Ö

Ö Urz¹dzenia do monta¿u powierzchniowego

SMD

Ö

Ö Lutownice z elektronicznym regulatorem

temperatury wbudowanym w r¹czkê
lutownicy

Ö

Ö Podstawki do cyny i lutownic
Ö

Ö Lutownice z regulacj¹ temperatury we

wtyku sieciowym

Ö

Ö Lutownice bez regulacji temperatury grota
Ö

Ö Odsysacze cyny
Ö

Ö Tygle
Ö

Ö Zasilacze
Ö

Ö Œci¹gacze izolacji
Ö

Ö Groty (14 typów)

background image

P³ytki drukowane wysy³ane s¹ za zaliczeniem pocztowym. Orientacyjny czas oczekiwania na realizacjê zamówienia wynosi trzy tygodnie. Nie przyj-
mujemy zamówieñ telefonicznych. Zamówienia na p³ytki drukowane prosimy przesy³aæ na kartach pocztowych, lub kartach zamówieñ zamieszcza-
nych w PE. Koszt wysy³ki 8,00 z³ bez wzglêdu na kwotê pobrania. W sprzeda¿y wysy³kowej dostêpne s¹ archiwalne numery „Praktycznego Elektro-
nika”: 3/92, 11/95, 4/96, 12/96, 1÷11/97, 4/98, 5/98, 10÷12/98 wszystkie w cenie 3,00 z³, 1÷4/99 wszystkie w cenie 3,60 z³ plus koszty wysy³ki.
Kserokopie artyku³ów i ca³ych numerów, których nak³ad zosta³ wyczerpany, wysy³amy w cenie 1,75 z³ za pierwsz¹ stronê, za ka¿d¹ nastêpn¹ 0,25
z³ plus koszty wysy³ki. Kupony prenumeraty zamieszczane s¹ w numerach 11/98, 12/98, 2/99, 5/99, 8/99.

Zapewne nie wszyscy Czytelnicy przypominaj¹ sobie jak wygl¹da³

programator pierwszego w Polsce telewizora kolorowego marki Rubin.
Prze³¹czenie programu wymaga³o nie lada wprawy i wysi³ku fizycznego.
Odbiór ¿¹danego programu uzyskiwa³o siê za pomoc¹ „magicznej” konfi-
guracji obrotowego prze³¹cznika kana³ów, prze³¹cznika zakresu i pokrêt³a
strojenia. W czasach, które dla telewizorów marki Rubin mo¿na okreœliæ
z³otym wiekiem, telewidz mia³ do wyboru tylko dwa programy telewizji
publicznej. Programy mia³y bardzo podobn¹ tematykê wiêc szybkoœæ
prze³¹czania pomiêdzy programami nie by³a tak istotna, jak teraz gdy do
dyspozycji mamy czêsto kilkadziesi¹t i wiêcej programów.

W przypadku odbioru radiowego sytuacja w owych czasach mia³a siê

nieco lepiej. Ju¿ od dawna w paœmie UKF obecnych by³o wiele stacji, które
nota bene nadawa³y o wiele ciekawsze programy ni¿ wszystkie wspó³cze-
sna stacje komercyjne razem wziête. W tym w³aœnie okresie prymat wœród
sprzêtu wy¿szej klasy wiod³y odbiorniki radiowe Amator. Radios³uchacz
mia³ do dyspozycji du¿¹ ga³kê, która przy strojeniu przesuwa³a wskazów-
kê po skali z naniesion¹ podzia³k¹ czêstotliwoœci. Przy odrobinie wprawy
i sprzyjaj¹cych okolicznoœciach, dostrojenie siê do w³aœciwej stacji zajmo-
wa³o u³amki sekundy. Niestety gdy z jednego radia korzysta³y dwie osoby
o ró¿nych upodobaniach muzycznych lub ciekawe audycje nadawa³o kil-
ka rozg³oœni, sytuacja nieco siê komplikowa³a.

Wielkim prze³omem w strojeniu odbiorników by³o wprowadzenie do

masowego u¿ycia warikapów. Stanowi³y one podstawê do konstrukcji
przestrajanych elektronicznie g³owic UKF. Dziêki nim mog³y powstaæ elek-
troniczne programatory, które pozwala³y na zapamiêtanie maksymalnie
kilkunastu stacji.

Jednak¿e prawdziwym rewolucj¹ w tej dziedzinie by³o dopiero wpro-

wadzenie syntezy czêstotliwoœci do stabilizacji czêstotliwoœci heterodyny,
wykorzystuj¹ce pêtlê PLL stabilizowan¹ kwarcem. Pozwala³o to odbieraæ
¿¹dan¹ czêstotliwoœæ z du¿¹ precyzj¹. Zbêdna sta³a siê pêtla automatycz-
nej regulacji czêstotliwoœci (ARCz), radios³uchacz móg³ odczytaæ na wy-
œwietlaczu czêstotliwoœæ odbieranej stacji.

Ciekawe jakie jeszcze niespodzianki przygotowuj¹ nam w najbli¿szym

czasie producenci sprzêtu elektronicznego, je¿eli ju¿ dziœ syntezê czêstotli-
woœci montuje siê nawet w tanich radiobudzikach. Oœmielam siê twierdziæ,
¿e ekspansja elektroniki kiedyœ siê skoñczy. Na razie jej rozwój przypomina
pompowanie wielkiego gumowego balonu, który kiedyœ musi w koñcu
pêkn¹æ. Po jego eksplozji naszym potomkom znów przyjdzie czekaæ wiele
lat na rewelacyjne odkrycie kolejnego Marconiego czy Popowa.

Zastêpca Redaktora Naczelnego

Spis treœci

Samochodowy wzmacniacz

mocy 4×70 W = 280 W..............................4

Sterownik wentylatora ³azienkowego ...........9

Migaj¹ca strza³ka z wykrzyknikiem .............13

Gie³da PE .................................................16

Programator procesorów ATMEL.................17

Pomys³y uk³adowe

– przetwornice kondensatorowe .................22

Kupon zamówieñ ......................................24

Programowanie obs³ugi

wyœwietlacza i klawiatury

– prosty program pog³osu ..........................25

Synteza do tunera UKF – cz. 1 ...................31

Elektronika w Internecie ...........................35

Adres Redakcji:
„Praktyczny Elektronik”
ul. Jaskó³cza 2/5
65-001 Zielona Góra
tel/fax.: (0-68) 324-71-03 w godzinach 8

00

-10

00

e-mail: redakcja@pe.com.pl; www@pe.com.pl
Redaktor Naczelny:
mgr in¿. Dariusz Cichoñski
Z-ca Redaktora Naczelnego:
mgr in¿. Tomasz Kwiatkowski
Redaktor Techniczny: Pawe³ Witek
©Copyright by Wydawnictwo Techniczne ARTKELE Zielona Góra, 1999r.
Zdjêcie na ok³adce: J. Bro¿yna

Druk: Zielonogórskie Zak³ady Graficzne „ATEXT” sp. z o.o.
Plac Pocztowy 15 65-958 Zielona Góra

Artyku³ów nie zamówionych nie zwracamy. Zastrzegamy sobie pra-

wo do skracania i adjustacji nades³anych artyku³ów.

Opisy uk³adów i urz¹dzeñ elektronicznych oraz ich usprawnieñ za-

mieszczone w

Praktycznym Elektroniku” mog¹ byæ wykorzystywane

wy³¹cznie do potrzeb w³asnych. Wykorzystanie ich do innych celów,
zw³aszcza do dzia³alnoœci zarobkowej wymaga zgody redakcji „Praktycz-
nego Elektronika”. Przedruk lub powielanie fragmentów lub ca³oœci pu-
blikacji zamieszczonych w

Praktycznym Elektroniku” jest dozwolony

wy³¹cznie po uzyskaniu zgody redakcji.

Redakcja nie ponosi ¿adnej odpowiedzialnoœci za treœæ reklam

i og³oszeñ.

Analiza syntezy

background image

Moc wzmacniaczy stosowanych

w samochodach ograniczona jest ni-
skim napiêciem zasilania. D¹¿¹c do
uzyskania jak najwy¿szej mocy wyj-
œciowej stosuje siê wzmacniacze
w uk³adzie mostkowym, jednoczeœnie
zmniejszaj¹c impedancjê zestawów
g³oœnikowych. Wszystkie te zabiegi
pozwalaj¹ jednak na osi¹gniêcie mocy
rzêdu 20 W. Dalsze zwiêkszanie mocy
wymaga zastosowania przetwornicy
podwy¿szaj¹cej napiêcie zasilania.
Wad¹ przetwornic jest koniecznoœæ
stosowania transformatorów i zwi¹za-
ne z tym k³opoty. Jednak¿e najnowsze
uk³ady scalone wzmacniaczy mocy po-
³¹czy³y w jednej obudowie przetwor-
nicê i wzmacniacz. Jeszcze wiêksz¹ za-
let¹ tych uk³adów jest brak transfor-
matora. Po prostu zastosowano prze-
twornice kondensatorow¹. Przyk³a-
dem takiego wzmacniacza jest uk³ad
TDA 1562Q firmy Philips, który zasto-
sowano w prezentowanym wzmacnia-
czu mocy.

Uk³ad TDA 1562Q zawiera w swo-

jej obudowie monofoniczny wzmac-
niacz mocy pracuj¹cy w uk³adzie most-
kowym. Charakteryzuje siê bardzo du-
¿¹ moc¹ wyjœciow¹ przy niskim, poje-
dynczym napiêciu zasilania. Przy ma-
³ych poziomach mocy, do 18 W uk³ad
pracuje jak zwyk³y wzmacniacz. W sy-
tuacji gdy wymagana jest wiêksza moc
wyjœciowa uruchamiana zostaje we-
wnêtrzna kondensatorowa przetworni-
ca podwy¿szaj¹ca napiêcie zasilaj¹ce
stopieñ koñcowy. Dziêki temu uk³ad

jest w stanie dostarczyæ 70 W mocy na
obci¹¿enie 4 W.

W czasie normalnej pracy, gdy

uk³ad jest sterowany sygna³em muzycz-
nym zapotrzebowanie na wysok¹ moc
wyjœciow¹ wystêpuje tylko w bardzo
niewielkim procencie czasu. Z uwagi na
to, ¿e sygna³ muzyczny posiada rozk³ad
normalny (Gaussowski) amplitudy,
uzyskuje siê znaczne zmniejszenie mo-
cy traconej w uk³adzie, w porównaniu
do klasycznego wzmacniacza pracuj¹-
cego w klasie AB.

Wielk¹ zalet¹ TDA 1562Q jest nie-

wielka liczba elementów zewnêtrz-
nych, a ciekawostk¹ fakt, ¿e nie ma
wœród nich ¿adnego rezystora. Ponadto
uk³ad posiada wiele ró¿nych zabezpie-
czeñ i funkcji. Z zabezpieczeñ nale¿y
wymieniæ odpornoœæ uk³adu na zwarcia
wyjœæ do masy, napiêcia zasilania, oraz
zwarcia w

obci¹¿eniu. Oczywiœcie

Przedstawiamy pierwsz¹ czêœæ samochodowego wzmacniacza du¿ej
mocy. Ca³y cykl obejmuje trzy czêœci: wzmacniacz mocy, sterowany
mikroprocesorowo przedwzmacniacz i sterowany elektronicznie ko-
rektor graficzny z pamiêci¹ charakterystyk. Wzmacniacz mocy mo¿e
pracowaæ autonomicznie, tzn. wykorzystuj¹c sygna³ pochodz¹cy
z radioodbiornika lub odtwarzacza kompaktowego. Podobnie
przedwzmacniacz z korektorem mo¿na wykorzystaæ do innego ze-
stawu muzycznego. Szczegó³owe dane dotycz¹ce przedwzmacnia-
cza zamieœcimy w drugiej czêœci artyku³u, a poni¿ej opiszemy
wzmacniacz mocy.

Samochodowy wzmacniacz

mocy 4×70 W = 280 W

15

13

C2–

C2+

6

12

masa stopni mocy

Masa stopni mocy

Vref

Masa sygna³owa

masa

17

wy³¹czanie

*

Vp

Zabezpieczenie

temperaturowe

15k

Pompa

14

V

ref

zniekszta³ceñ

detektor

Obci¹¿enia

75k

zwrotne

Stopieñ

mocy

Przedwz.

WE–

2

11

WY–

Interfejs

mocy

Stopieñ

Przedwz.

75k

diagnostyczny

Dynamiczny

Detektor

Sprzê¿enie

8

WY+

pr¹dowe

*

W³¹czanie

Vp

pracy

1

WE+

7

zniekszta³ceñ

Zabezpieczenie

temperatury

Czujnik

Szybkie wyciszanie

Klasa B

Zabezpieczenie

wy³¹czanie

Pompa

Standby

Wyciszanie

4

wy

tryb

przed brakiem

Klasa A

16

stan

9

10

5

3

C1–

C1+

V

P1

P2

V

Rys. 1 Schemat blokowy uk³adu wzmacniacza mocy TDA 1562Q

Opis uk³adu TDA 1562Q

4

4/99

background image

uk³ad jest zabezpieczony przed prze-
kroczeniem maksymalnej temperatury.
Wydaje siê wiêc prawie niezniszczalny.

Jak przysta³o na uk³ad wyprodukowa-

ny w czasach królowania mikroproceso-
rów TDA 1562Q wyposa¿ony jest w trzy
wejœcia/wyjœcia diagnostyczno-programu-
j¹ce, których funkcje opisano poni¿ej.

Na wejœcie to mo¿na podaæ trzy ró¿ne
poziomy napiêcia:

Niski

– Czuwanie (standby); uk³ad jest

ca³kowicie wy³¹czony, pr¹d pobie-
rany z zasilacza jest bardzo niski.

Œredni – Wyciszenie (mute); uk³ad jest

w³¹czony, lecz sygna³ akustycz-
ny pozostaje st³umiony.

Wysoki – W³¹czony (on); normalna praca,

sygna³ doprowadzony do wej-
œcia jest wzmacniany o 26 dB.

W czasie gdy uk³ad jest prze³¹cza-

ny z czuwania na pracê, lub odwrotnie
moment prze³¹czania uk³adu realizo-
wany jest w chwili gdy sygna³ wejœcio-
wy przechodzi przez zero. Dziêki temu

w g³oœniku nie s³ychaæ stuków zwi¹za-
nych ze stanami nieustalonymi. Samo
w³¹czanie odbywa siê bardzo szybko
i uk³ad rozpoczyna pracê ju¿ w 50 ms
od chwili w³¹czenia. Jest to wa¿ne
w niektórych zastosowaniach jak np.
telefoni, lub nawigacji samochodowej.

Wejœcie
Tak¿e na to wejœcie mo¿na podaæ trzy
ró¿ne poziomy napiêcia:
Niski

– Szybkie wyciszenie (fast mu-

te); uk³ad pozostaje w³¹czo-
ny, lecz sygna³ akustyczny
jest st³umiony.

Œredni – Klasa B (class B); uk³ad pracu-

je jak zwyk³y wzmacniacz
w klasie B oddaj¹c na obci¹-
¿enie tylko moc do ok. 18 W,
przetwornica podwy¿szaj¹ca
napiêcie jest wy³¹czona, stan
ten jest utrzymywany bez
wzglêdu na temperaturê
uk³adu.

Wysoki – Klasa H (class H); uk³ad pra-

cuje w klasie H dostarczaj¹c
w razie potrzeby pe³n¹ moc
wyjœciow¹, przetwornica jest
w³¹czona, stan ten jest utrzy-
mywany bez wzglêdu na
temperaturê uk³adu.

Szybkie wyciszanie nastêpuje na-

tychmiast po doprowadzeniu do wej-
œcia odpowiedniego sygna³u. W odró¿-
nieniu od zwyk³ego wyciszania które
odbywa siê w chwili przejœcia sygna³u
przez zero. Natomiast przejœcia z pracy
w klasie B do pracy w klasie H i od-
wrotnie odbywaj¹ siê w zerze sygna³u
wejœciowego.

Wyjœcie
Wyjœcie mo¿e przyjmowaæ trzy pozio-
my napiêcia:
Niski

– Wyciszenie (mute); potwier-

dzenie, ¿e uk³ad jest w stanie
wyciszenia, sygna³ ten odpo-
wiada rzeczywistemu stanowi
na wyjœciu uk³adu.

Œredni – Klasa B (class B); uk³ad pracu-

je w klasie B, co jest spowo-
dowane temperatur¹ obudo-
wy wy¿sz¹ ni¿ 120°C.

Wysoki – Klasa H (class H); uk³ad pra-

cuje w klasie H, temperatura
obudowy jest ni¿sza ni¿ 120°C.

10mF

6

US4

WY4

OUT–

IN+

100n

C8

2

PGND2

PGND1

C2–

C2+

11

2

14

17

C12

4700mF

C20

13

15

12

SGND

VREF

IN–

9

8

DIAG

100n

C7

1

C19

4700mF

5

3

10

OUT+

7

4

16

1

STATUS

MODE

V

cc

1

V

cc

2

C1–

C2+

2200mF

C24

C28*

100n

13

14

C2–

2

100n

C6

VREF

SGND

12

6

15

C18

4700mF

10mF

C11

17

PGND1

PGND2

8

MODE

3

4700mF

100n

C5

US3

IN–

2

11

C2+

STATUS

IN+

1

16

7

OUT+

OUT–

5

1

WY3

V

cc

1

V

cc

2

C1–

C2+

5

7

6

4

10

C17

DIAG

8

9

2200mF

C23

C27*

100n

LP

PP

T

T

100n

12

C16

17

PGND1

2

4

3

SGND

6

4700mF

10mF

C10

PT

T

T

100n

STATUS

7

1

G2

1

C4

US2

IN–

VREF

15

13

14

2

11

C2+

C2–

PGND2

2

IN+

1

OUT+

OUT–

WY2

LT

V

cc

2

C2+

C3

MODE

16

4

10

3

5

4700mF

C15

DIAG

8

9

2200mF

100n

V

cc

1

C1–

6

C9

10mF

C22

C26*

US1

IN+

OUT–

WY1

C2

100n

IN–

VREF

SGND

12

15

13

C14

4700mF

17

14

2

11

C2+

C2–

PGND1

PGND2

2

4

2

DIAG

8

9

3

C1

100n

MODE

STATUS

1

16

4

7

OUT+

10

3

5

4700mF

C13

1

V

cc

1

V

cc

2

C1–

C2+

wentylator

G3

2

1

1

G1

GND

+12V

2200mF

C21

C25*

100n

Rys. 2 Schemat ideowy wzmacniacza mocy

Wejœcie trybu pracy
MODE (nó¿ka 4)

Wejœcie/wyjœcie statusu
STAT (nó¿ka 16)

5

4/99

background image

Prze³¹czenia trybu pracy, spowodo-

wane wzrostem temperatury, z klasy B do
H i odwrotnie odbywaj¹ siê w zerze sy-
gna³u akustycznego. Wejœcie/wyjœcie sta-
tusu STAT kilku uk³adów (max. 8) mo¿e
byæ ³¹czone równolegle.

Wyjœcie to ma za zadanie dostar-

czanie informacji na temat stanu stop-
nia mocy, jest ono typu otwarty kolek-
tor. Pierwsz¹ funkcj¹ jest detekcja na-
sycania siê stopnia mocy, które prowa-
dzi do powstawania zniekszta³ceñ. Na-
sycanie jest wykrywane przez dyna-
miczny detektor zniekszta³ceñ (DDD).
W chwilach kiedy stopieñ mocy ulega

nasyceniu wyjœcie zmienia stan na niski
(wewnêtrzny tranzystor zostaje nasyco-
ny). Informacja ta jest przeznaczona dla
uk³adu mikroprocesora w przedwzmac-
niaczu, który w takiej sytuacji zmniejsza
wysterowanie wzmacniacza mocy.

Drug¹ funkcj¹ realizowan¹ przez to

wyjœcie jest informowanie o zwarciach
na wyjœciu. W przypadku zwarcia jed-
nego, lub obu wyjϾ do masy albo do
zasilania stopieñ mocy jest wy³¹czany,
a wyjœcie DIAG przechodzi w stan niski.
Po ust¹pieniu zwarcia uk³ad powraca
do normalnej pracy po ok. 20 ms. Gdy
zwarcie wystêpuje na obci¹¿eniu uk³ad
wy³¹cza stopieñ mocy na ok. 20 ms, po
czym testuje wyjœcie przez ok. 50 ms,
sprawdzaj¹c czy zwarcie nadal wystê-
puje. Wyjœcie DIAG jest wtedy w stanie

niskim przez 20 ms, a na czas spraw-
dzania pojawia siê na nim stan wysoki
na czas 50 ms. Moc tracona w uk³adzie
podczas wyst¹pienia dowolnego zwar-
cia jest bardzo ma³a.

W trybie wyciszania, gdy do wyj-

œcia wzmacniacza nie ma do³¹czonego
obci¹¿enia wyjœcie diagnostyczne
przyjmuje stan niski.

Wyjœcie diagnostyczne zmienia

swój stan na niski tak¿e w przypadku
przekroczenia maksymalnej temperatu-
ry z³¹cza. Zmiana stanu nastêpuje nie-
co wczeœniej ni¿ automatyczne wy³¹-
czenie stopnia mocy przy nadmiernym
wzroœcie temperatury.

Zatem mo¿na powiedzieæ, ¿e uk³ad

TDA 1562Q sam w sobie jest ma³ym
komputerkiem, z dobudowanym wzmac-

ARTKELE

465

ARTKELE

465

Z*

LT

PT

PP

+

T

LP

T

T

T

T

1

C13

C14

C21

C15

C22

C18

C23

C24

C19

C20

2

1

2

1

WY1

WY2

WY4

WY3

1

2

1

2

C9

C16

C10

C11

C12

US1

US2

US3

US4

G2

+

+

+

+

+

+

+

+

C17

G3

C4

C8

G1

C1 C2

C3

C5

C6

C7

1

1

13mm

Rys. 3 P³ytka drukowana i rozmieszczenie elementów

Wyjœcie diagnostyczne
DIAG (nó¿ka 8)

6

4/99

background image

niaczem mocy. W tabeli 1 zamieszczono
podstawowe dane uk³adu.

Monta¿ wzmacniacza nale¿y prze-

prowadziæ dok³adnie wed³ug podanej
poni¿ej kolejnoœci. Czytelnicy którzy
nie pos³uchaj¹ tych rad mog¹ siê „za-
pêdziæ w kozi róg” kiedy to trzeba bê-
dzie wymontowaæ niektóre elementy,
aby móc zamontowaæ pozosta³e.

Konstrukcja ca³ego wzmacniacza

tworzy zwarty blok. Radiator przykrê-
cony jest do p³ytki drukowanej cztere-
ma blachowkrêtami, natomiast uk³ady
scalone wzmacniaczy mocy przykrêco-
ne s¹ do radiatora i wlutowane w p³yt-
kê drukowan¹ (patrz zdjêcie na ok³ad-
ce i rys. 4).

Przed rozpoczêciem monta¿u nale-

¿y powiêkszyæ wiert³em o œrednicy
f3,2 mm cztery naro¿ne otwory
w p³ytce drukowanej. Wiert³em o tej
samej œrednicy powiêksza siê cztery
otwory przeznaczone do przykrêcenia
radiatora. Otwory te znajduj¹ siê pod
radiatorem (patrz rysunek 3 i 4). Na
rysunku 3 zaznaczono je kolorem czar-
nym w odró¿nieniu od pozosta³ych,
które s¹ w kolorze niebieskim.

W drugiej fazie nale¿y wywierciæ

w podstawie radiatora (od spodu) czte-
ry otwory o œrednicy f2,5 mm prze-
znaczone do przykrêcenia radiatora do
p³ytki drukowanej. Aby zachowaæ wy-

magan¹ dok³adnoœæ najproœciej jest
przy³o¿yæ p³ytkê drukowan¹ stron¹ ele-
mentów do radiatora i wtedy napunk-
towaæ miejsca po³o¿enia otworów. Œre-
dnica otworów powinna byæ nieco
mniejsza od œrednicy zewnêtrznej
gwintu blachowkrêtów. Podana tu
wielkoϾ 2,5 mm odpowiada blachow-
krêtom o

œrednicy zewnêtrznej

2,8 mm. D³ugoœæ blachowkrêtów po-
winna wynosiæ ok. 10÷12 mm.

Nastêpnie w radiatorze wierci siê

osiem otworów o œrednicy f3,2 mm
przeznaczonych do przykrêcenia uk³a-
dów scalonych wzmacniaczy mocy.
Otwory te powinny byæ umieszczone
w jednej linii na wysokoœci 13÷15 mm
nad doln¹ krawêdzi¹ radiatora. Do na-

punktowania otworów mo¿na pos³u¿yæ
siê rysunkiem monta¿owym (rys. 3
dolna czêœæ). Na rysunku tym nad ¿e-
brami radiatora umieszczono osiem
czarnych pól lutowniczych po³o¿onych
13 mm nad krawêdzi¹ p³ytki drukowa-
nej. Ten fragment rysunku mo¿na przy-
³o¿yæ do radiatora i napunktowaæ po-
³o¿enie otworów.

Po wywierceniu wszystkich otwo-

rów w radiatorze mo¿na sprawdziæ „na
sucho” czy wszystko pasuje do siebie.
Pozwoli to zaoszczêdziæ sobie k³opotów
przy dalszym monta¿u. Kiedy wszystko
pasuje do siebie mo¿na rozpocz¹æ
monta¿ wzmacniacza. W pierwszej ko-
lejnoœci montuje siê wszystkie zworki
znajduj¹ce siê na p³ytce drukowanej.
Nastêpnie wlutowuje siê kondensatory
C4 i C8, oraz gniazda (piny) G1 i G3.

Na tym monta¿ elementów elek-

tronicznych nale¿y przerwaæ. Nadszed³
czas na przykrêcenie radiatora do p³yt-

izolacyjna

blachowkrêt

nó¿ki lutowaæ

po przykrêceniu

do radiatora

wkrêt

nakrêtka

13

÷

15 mm

p³ytka

podk³adka

podk³adka

podk³adka

posmarowaæ

past¹

silikonow¹

RADIATOR

Rys. 4 Sposób monta¿u uk³adów scalonych

PT

PP

LP

LT

(LR)

(LF)

(RF)

(RR)

3×10k

3
4

LT

1

2

WY1

WY3

WY4

WY2

G3

+12V

T

1
2

wzmacniacza

radiowego lub

LP

PP

8
7
6

P£YTKA NR 465

G2

G1

2

1

PT

4

5

3

+

wentylator

do odbiornika

T

T

M

i grube przewody (min 4 mm

2

)

stosowaæ mo¿liwie krótkie

2,5A

+

AKUMULATOR

W£=12V – W£
W£=0V – WY£

Rys. 5 Schemat pod³¹czenia wzmacniacza mocy w uk³adzie autonomicznym

Parametr

Min.

Typ.

Max.

Jedn.

Napiêcie zasilania

8

14,4

18

V

Pobór pr¹du w stanie wy³¹czenia

1

50

mA

Pr¹d spoczynkowy

110

150

mA

Sta³e napiêcie na wyjœciu

6,5

V

Niepowtarzalny pr¹d wyjœciowy

10

A

Powtarzalny pr¹d wyjœciowy

8

A

Maksymalna temperatura z³¹cza

150

°C

Ca³kowita moc strat

60

W

Moc wyjœciowa klasa B; THD=10%

16

20

W

Moc wyjœciowa klasa H; THD=10%

60

70

W

Moc wyjœciowa klasa H; THD=0,5%

45

55

W

Górna czêstotliwoœæ graniczna; –1dB

20

kHz

Zniekszta³cenia; P =1 W

0,03

%

Zniekszta³cenia; P =20 W

0,06

%

Zniekszta³cenia; zadzia³anie DDD

10

%

Wzmocnienie napiêciowe

25

26

27

dB

Impedancja wejœciowa

90

150

kW

o

o

Tabela 1 – Parametry charakterystyczne uk³adu TDA 1562Q dla:

V

CC

=14,4 V, R

L

=4 W

W, f=1 kHz, T

amb

=25°C

Monta¿ i uruchomienie

7

4/99

background image

ki drukowanej. Pod blachowkrêty mo-
cuj¹ce radiator koniecznie nale¿y w³o-
¿yæ podk³adki izoluj¹ce, aby ³by bla-
chowkrêtów nie pozwiera³y œcie¿ek.
Podk³adki mo¿na kupiæ, lub wykonaæ
z kawa³ka sztywnej folii plastikowej,
lub bardzo cienkiej gumy.

Gdy radiator jest ju¿ przykrêcony

mo¿na zacz¹æ monta¿ uk³adów scalo-
nych. W pierwszej kolejnoœci trzeba
sprawdziæ, czy cztery zwory znajduj¹ce
siê pomiêdzy uk³adami scalonymi a ra-
diatorem, u³o¿one równolegle do niego
nie zwieraj¹ siê ani do radiatora, ani do
nó¿ek uk³adu scalonego. Teraz smaruje
siê smarem silikonowym uk³ad scalony
i wk³ada go w otwory w p³ytce druko-
wanej. Mo¿e to sprawiæ pewn¹ trud-
noœæ, gdy¿ uk³ad posiada a¿ siedemna-
œcie nó¿ek. Po w³o¿eniu uk³adu nale¿y
sprawdziæ czy wszystkie nó¿ki tkwi¹
w otworach i czy ¿adna nie zgiê³a siê.

Nastêpnie uk³ad scalony przykrêca

siê do radiatora dwoma wkrêtami M3.
Otwory w radiatorze maj¹ nieco wiêkszy
rozstaw ni¿ otwory w uk³adzie scalo-
nym. Podyktowane to zosta³o odleg³o-
œci¹ pomiêdzy ¿ebrami radiatora. Dlate-
go te¿ pod wkrêty nale¿y za³o¿yæ sztyw-
ne podk³adki metalowe.

Dopiero przykrêcony uk³ad mo¿na

przylutowaæ do p³ytki drukowanej,
uwa¿aj¹c, aby nie zrobiæ zwarcia. Tak
samo postêpuje siê z pozosta³ymi uk³a-
dami.

Wyjœcia g³oœnikowe WY1÷Wy4 naj-

wygodniej wyprowadziæ jest przewoda-
mi zakoñczonymi standardow¹ wtyczk¹
stosowan¹ do pod³¹czania g³oœników
w samochodzie. Przewody mo¿na wlu-
towaæ w otwory, lub przylutowaæ do pól
lutowniczych po stronie druku. Nale¿y
zwróciæ szczególn¹ uwagê, aby nie zro-
biæ najmniejszego nawet zwarcia.

Po wykonaniu powy¿szych czynnoœci

mo¿na zamontowaæ pozosta³e elementy,
przy czym kondensatory elektrolityczne
montuje siê na samym koñcu. Pozosta³a je-
szcze do zamontowania zworka Z*. Umie-
szczono j¹ po stronie druku. Dla bezpie-
czeñstwa wskazane jest przed przylutowa-
niem na³o¿yæ na ni¹ koszulkê izolacyjn¹.
Kondensatory C25÷C28 montowane s¹
po stronie druku bezpoœrednio do nó¿ek
10, 12 uk³adów scalonych US1÷US4.

Jak ju¿ wczeœniej wspomniano

wzmacniacz przystosowany jest do pra-
cy autonomicznej i do wspó³pracy
z przedwzmacniaczem. W tym artykule

opisano pod³¹czenie wzmacniacza
w uk³adzie autonomicznym. Schemat
blokowy pod³¹czenia wzmacniacza za-
mieszczono na rysunku 5.

Przewody zasilania ³¹cz¹ce wzmac-

niacz z akumulatorem powinny byæ
mo¿liwie krótkie i o odpowiednio du-
¿ym przekroju (min. 4 mm

2

), wszak

uk³ad przy pe³nej mocy pobiera prawie
20 A pr¹du. Oczywiœcie zasilanie powin-
no posiadaæ bezpiecznik 25 A. Ze
wzglêdu na bardzo du¿y pobór pr¹du
i zwi¹zane z nim nieuniknione spadki
napiêcia wskazane jest aby zasilanie ra-
dioodbiornika, lub innego wspó³pracu-
j¹cego sprzêtu by³o poprowadzone od
wzmacniacza mocy, tak jak pokazano to
na rysunku 5.

Je¿eli wzmacniacz bêdzie pracowa³

w ciê¿kich warunkach, czyli przez d³ugi
czas z pe³n¹ moc¹ wyjœciow¹ i w wyso-
kiej temperaturze otoczenia niezbêdne
jest zastosowanie dodatkowych wenty-
latorów ch³odz¹cych radiator. Mo¿na tu
wykorzystaæ wentylatory stosowane
w zasilaczach komputerowych. Nato-
miast gdy pe³na moc bêdzie wykorzy-
stywana krótko, a wzmacniacz umie-
szczony jest w miejscu „przewiewnym”,
wentylatory s¹ zbêdne.

Wzmacniacz posiada rozdzielone

masy stopni mocy i sygna³ow¹. Taka
konfiguracja uk³adu mas pozwala na
zminimalizowanie zak³óceñ mog¹cych
nak³adaæ siê na sygna³. Z tego te¿ wzglê-
du masy sygna³owe ³¹czy siê z mas¹
uk³adu dopiero przy Ÿródle sygna³u,
który powinien byæ doprowadzany do
wzmacniacza przewodami ekranowany-
mi. W przypadku stosowania krótkich
po³¹czeñ, nie przekraczaj¹cych 20 cm
dopuszczalne jest prowadzenie sygna³u
tasiemk¹, pod warunkiem, ¿e masa sy-
gna³owa ³¹czy siê z mas¹ uk³adu przy
Ÿródle sygna³u.

Niewykorzystywane w tej konfigu-

racji wzmacniacza wejœcia steruj¹ce ³¹-
czy siê przez rezystory 10 kW z plusem
zasilania. W takim uk³adzie wzmacniacz
wymaga stosowania w³¹cznika zasilania
co jest doœæ k³opotliwe zwa¿ywszy na
du¿y pobór pr¹du. Wiêkszoœæ samocho-
dowych odbiorników radiowych wypo-
sa¿ona jest w wyjœcie steruj¹ce na
którym pojawia siê napiêcie +12 V po
w³¹czeniu zasilania. W takim przypadku
wystarczy wykonaæ pod³¹czenie zazna-
czone na rysunku 5 lini¹ przerywan¹
aby uzyskaæ zdalne w³¹czanie wzmac-

niacza. Pobór pr¹du przez wy³¹czony
wzmacniacz jest wtedy bardzo ma³y.
Stosuj¹c zdalne wy³¹czanie wzmacnia-
cza nale¿y sprawdziæ, czy wyjœcie steru-
j¹ce radioodbiornika zwierane jest
z mas¹. Je¿eli po wy³¹czeniu radiood-
biornika „wisi” ono w powietrzu nale¿y
rezystor 10 kW pod³¹czony do nó¿ki nr
3 gniazda G1 po³¹czyæ z mas¹, a nie
z plusem zasilania.

Poprawnie zmontowany, bez ¿ad-

nych zwaræ i „zimnych” lutów wzmac-
niacz dzia³a od razu bez ¿adnego uru-
chamiania. Pr¹d spoczynkowy wzmac-
niacza w³¹czonego wynosi ok. 500 mA,
natomiast wzmacniacz wy³¹czony po-
biera mniej ni¿ 1 mA.

Pragnê przypomnieæ, ¿e uk³ad po-

wiêkszania napiêcia zasilaj¹cego
wzmacniacz zosta³ zaprojektowany pod
k¹tem sygna³ów muzycznych. Dlatego
te¿ przy napiêciu nominalnym 14,3 V,
dla obci¹¿enia 4 W nie uda siê uzyskaæ
mocy wyjœciowej 70 W steruj¹c wzmac-
niacz sygna³em sinusoidalnym. Dla mo-
cy powy¿ej ok. 30÷40 W uk³ad zacznie
„d³awiæ” siê, czyli wycinaæ fragmenty si-
nusoidy.

Przypominam, ¿e podczas postoju

samochodu nie nale¿y zbyt d³ugo graæ
na „ca³y regulator”, gdy¿ póŸniej mo¿na
mieæ k³opoty z „odpaleniem” auta.
Przeciêtny akumulator samochodowy
wytrzyma ok. 1 godziny grania; nowy
i w pe³ni na³adowany wiêcej a stary
i czêœciowo roz³adowany du¿o mniej.

P³ytki drukowane wysy³ane s¹ za zali-
czeniem pocztowym. P³ytki mo¿na za-
mawiaæ w redakcji PE.
Cena:

p³ytka numer 465 - 8,25 z³
+ koszty wysy³ki.

à

à mgr in¿. Dariusz Cichoñski

US1÷US4

– TDA 1562Q

C1÷C8

– 100 nF/50 V MKSE-20

C25÷C28

– 100 nF/50 V MKSE-20,

patrz opis w tekœcie

C9÷C12

– 10 m

mF/25 V

C21÷C24

– 2200 m

mF/16 V

C13÷C20

– 4700 m

mF/35 V

radiator jednostronnie ¿ebrowany wyso-

koϾ ok. 8 cm

p³ytka drukowana

numer 465

Wykaz elementów

Pó³przewodniki

Kondensatory

Inne

8

4/99

background image

Dane techniczne:
Napiêcie zasilania

– 220 V/50 Hz

Pobór pr¹du

– 20 mA

Czujnik wilgotnoœci

– rezystancyjny

Napiêcie w³¹czenia – 220 V/50 Hz
Czas w³¹czenia
(regulowany)

– 1÷3 min

Maksymalne
obci¹¿enie

– 0,5 A

Jako element za³¹czaj¹cy silnik we-

ntylatora przewidziano triak o ma³ym
pr¹dzie bramki. Zastosowanie triaka
umo¿liwia dwukierunkowy przep³yw
pr¹du przez obci¹¿enie. Triak bêdzie
w³¹czany za poœrednictwem uk³adu wy-
zwalania. Do uk³adu wyzwalania sygna³
steruj¹cy jest podawany z komparatora
wyjœciowego uk³adu czujnika wilgotno-
œci, lub z uk³adu czasowego.

Wyzwalanie z uk³adu czasowego

jest realizowane podawanym na bram-
kê triaka napiêciem sta³ym o polaryzacji
ujemnej. Wyzwalanie z uk³adu czujnika
wilgotnoœci odbywa siê impulsami uzy-
skanymi z detektora przejœcia przez ze-
ro. Ujemn¹ polaryzacjê tych impulsów

zapewnia komparator wyjœciowy. Kom-
parator czujnika wilgotnoœci w³¹cza
uk³ad detektora zera po przekroczeniu
granicznej wilgotnoœci w pomieszczeniu
gdzie zainstalowano czujnik.

Sterowanie triaka ujemnym napiê-

ciem sta³ym lub impulsami jest korzyst-
niejsze od sterowania napiêciami dodat-
nimi. Zapewnia ono lepsz¹ symetriê
przy za³¹czaniu obu po³ówek okresu
pr¹du zmiennego. Mniej ró¿ni¹ siê czu-

³oœci triaka dla po³ówek dodatniej
i ujemnej przemiennego napiêcia zasila-
j¹cego.

Uk³ad jest zasilany bezpoœrednio z na-

piêcia sieci (~220 V i 0). Zasilacz Z
zapewnia napiêcie symetryczne ±12 V.
Przy instalowaniu uk³adu trzeba zacho-
waæ szczególn¹ ostro¿noœæ i zamontowaæ
go w miejscu uniemo¿liwiaj¹cym dostêp
domowników a szczególnie dzieci. Mo¿e
to byæ obudowa wentylatora wykonana
z tworzywa sztucznego.

Do za³¹czania czasowego wentyla-

tora wykorzystuje siê wejœcie Ch, na
które chwilowo nale¿y podaæ napiêcie
sieci 220 V. Do zasilania sterownika wy-
starcz¹ 3 przewody – faza, 0 i faza
z prze³¹cznika chwilowego. W³¹czany
wentylator nale¿y pod³¹czyæ do zaci-
sków S.

Opis schematu zaczniemy od zasila-

nia. Napiêcie sieci (faza 220 V) poda-
wane jest przez kondensator C1 i rezy-
stor R2 do ogranicznika napiêcia wyko-
rzystuj¹cego diody Zenera D1 i D2. Za-
stosowanie kondensatora zmniejsza
iloœæ ciep³a wydzielanego przy redukcji
napiêcia. Rezystor R2 ogranicza pr¹d
p³yn¹cy przez diody w chwili w³¹czenia
zasilania.

Napiêcie z ogranicznika jest prosto-

wane przez dwie przeciwnie skierowane
diody D3 i D4. Dioda D4 wykorzystana
jest do uzyskania napiêcia dodatniego
a dioda D3 ujemnego. Napiêcia s¹ fil-
trowane kondensatorami C2 i C3. Rezy-
stor R3 zmniejsza asymetriê napiêæ zasi-
lania podczas sterowania triaka.

9

Odrobina automatyzacji w ³azience. Uk³ad do samoczynnego w³¹-
czania wentylatora ³azienkowego po przekroczeniu poziomu wil-
gotnoœci. Wyposa¿ony tak¿e w uk³ad czasowy, który umo¿liwia
w³¹czenie na okreœlony czas za pomoc¹ prze³¹cznika chwilowego.

4/99

Sterownik wentylatora

³azienkowego

Opis budowy

0

Ch

(~ 220V)

1M

47k

wyzwalania

wyjœciowy

Uk³ad

Komp.

S

czasowy

zera

czujnika

wilgotnoœci

CW

Uk³ad

Detektor

Komp.

~220V

~220V

~220V

~220V

Z

–12V

+12V

S

Rys. 1 Schemat blokowy

Schemat i dzia³anie

background image

Uk³ad czasowy zrealizowano

na bramce X uk³adu scalonego US1
(CD 4001). Dla u³atwienia uzyskania
ujemnych impulsów wyzwalaj¹cych
uk³ad ten zasilany jest nietypowo. Wy-
prowadzenie 14 do³¹czone jest do masy
a wyprowadzenie 7 do –12 V. Sta³a cza-
sowa uk³adu i czas dzia³ania okreœlone
s¹ przez kondensator C4 i szeregowo
po³¹czone rezystor R4 i rezystor nastaw-
ny P1. W normalnym stanie kondensa-
tor C4 jest roz³adowany. Wejœcie 5 znaj-

duje siê na poziomie wysokim (masa)
a wejœcie 6 na poziomie niskim (–12 V).
Na wyjœciu 4 ustalony jest poziom niski
(–12 V). Bramka Y zmienia poziom sy-
gna³u na wysoki (0 V), który przez rezy-
stor R9 jest podawany do bazy tranzy-
stora T1. Tranzystor jest zatkany, nie
p³ynie pr¹d bramki triaka TR1. Triak po-
zostaje wy³¹czony.

Podanie napiêcia 220 V na zacisk Ch

uruchamia uk³ad impulsowania wytwa-
rzaj¹cy napiêcie, za³¹czaj¹ce przez R8

tranzystor T2. Uk³ad impulsowania sk³a-
da siê z bramek V i Z (US1) oraz tranzy-
stora T3. Uk³ad ten ogranicza wartoœci
napiêæ nie dopuszczaj¹c do ewentualne-
go uszkodzenia US1 czy T2. Dodatnie
po³ówki sinusoidy s¹ ograniczane dio-
dami Zenera, zabezpieczaj¹cymi wejœcia
bramek (wewn¹trz US1). Po³ówki ujem-
ne s¹ ograniczane przez za³¹czanie tran-
zystora T3, zwieraj¹cego wejœcia do ma-
sy. Zwieranie jest krótkotrwa³e, jego
czas zale¿y od propagacji bramek. Wy-
d³u¿enie tego czasu i poprawienie pew-
noœci za³¹czania daje kondensator C7.
W efekcie uk³ad wytwarza paczki ujem-
nych impulsów o wartoœci szczytowej
wiêkszej od 1/2 napiêcia zasilaj¹cego.
Czas trwania paczki odpowiada czasowi
trwania ujemnej po³ówki napiêcia zasi-
laj¹cego (10 ms).

Podanie ujemnych impulsów na ba-

zê tranzystora T2 powoduje jego w³¹-
czanie i ³adowanie kondensatora C4 na-
piêciem ujemnym. Po przekroczeniu 1/2
napiêcia zasilania na wejœciu 5, nastê-
puje zmiana stanu wyjœciowego bramki
X (4 US1) na wysoki. Na wyjœciu bram-
ki Y (3 US1) pojawia siê napiêcie ujem-
ne. Napiêcie to w³¹cza tranzystor T1,
który zamyka obwód pr¹du bramki tria-
ka. Wartoœæ pr¹du jest ograniczona rezy-
storem R5. Triak natomiast za³¹cza ob-
ci¹¿enie (silnik wentylatora) do³¹czony
do zacisków S.

Po wy³¹czeniu prze³¹cznika chwilo-

wego ustaje ³adowanie kondensatora
C4. Rozpoczyna siê jego roz³adowanie
przez R4 i P1. Spadek napiêcia na wy-
prowadzeniu 5 US1 poni¿ej 1/2 napiê-
cia zasilania spowoduje zmianê stanu na
wyjœciu bramek X i Y a w konsekwencji
wy³¹czenie triaka. Czas w³¹czenia mo¿-
na dok³adnie ustaliæ rezystorem nastaw-
nym P1, zgrubnie przez zmianê rezysto-
ra R4.

Czujnik wilgotnoœci CW powinien

zapewniaæ zmiany rezystancji w zakresie
poni¿ej 100 kW (du¿a wilgotnoœæ) do
oko³o 1 MW przy ma³ej wilgotnoœci.
Najkorzystniej by³oby kupiæ i zastoso-
waæ fabryczny. W przypadku trudnoœci
z nabyciem podajemy dalej przepis na
wykonanie we w³asnym zakresie.

Do czujnika CW podawane jest na-

piêcie zmienne z dzielnika R27, R26.
Czujnik wraz z rezystorem R25 stanowi
dzielnik napiêcia podawanego na wej-
œcie 2 wzmacniacza B US2. Wzmacniacz
ten pracuje jako komparator. Na wejœcie

10

4/99

0

1M

R18

27k

R16

1M

BC557B

T4

100k

11

BC557B

V

12

13

14

R7

47k

Ch

P2

R6

12

1N4148

1M

10

9

1M

R15

D

8

1M

R13

13

R12

330k

14

C

T3

R10

10

10k

9

Z

8

R8

10k

BC557B

1k

100n

R17

100

W

US1 CD4001

10n

C7

1M

US2 TL084

1M

TR1

T1

~220V

R14

510k

10k

R19

+12V

R11

C5

3

R9

10k

Y

1

2

220

m

F

D5

TIC225D

A2

R5

G

A1

T2

C4

BC557B

100k

100k

-12V

5

4,7

m

F

R21

R20

1M

4

X

7

5

6

R4

P1

470k*

12V

S

11

2,7k

1N4148

A

220

m

F

R25

C6

220

m

F

A

1M

2

3

P3

1

4

B

R23

D6

6

R22

1M

7

12V

100

W

D3

2

×

1N4005

C2

~220V

D1

C12

C12

D2

1M

C3

CW

R24

+12V

B

R26

R27

1M

2,7k

~220V

+12V

R3

D4

330n/~250V

R2

220

W

C1

R1

~ S

Rys. 2 Schemat ideowy

background image

3 podawane jest napiêcie odniesienia
z rezystora nastawnego P3. Jeœli napiê-
cie dodatniej po³ówki na wyprowadze-
niu 2 nie przekracza wartoœci napiêcia
odniesienia (ma³a wilgotnoœæ), na wyj-
œciu 1 jest napiêcie dodatnie. Wzrost
wilgotnoœci powoduje zwiêkszanie na-
piêcia zmiennego na wejœciu 2. Po prze-
kroczeniu napiêcia odniesienia na wyj-
œciu pojawi¹ siê impulsy ujemne.

Wzmacniacz A pracuje jako kompa-

rator z histerez¹ dziêki dodatniemu
sprzê¿eniu zwrotnemu przez R20. Przy
ma³ej wilgotnoœci na wejœciu 6 jest na-
piêcie +12 V. Na wyjœciu 7 bêdzie wte-
dy napiêcie ujemne. Napiêcie to przez
R19 za³¹cza tranzystor T4, unierucha-
miaj¹c detektor przejœcia przez zero zre-
alizowany na wzmacniaczu D. Ujemne
impulsy na wyjœciu wzmacniacza B przy
du¿ej wilgotnoœci, przez diodê D6 i re-
zystor R23 powoduj¹ roz³adowanie
kondensatora C6. Na wyjœciu 7 pojawia
siê napiêcie dodatnie blokuj¹ce tranzy-
stor T4 i uruchamiaj¹ce detektor zera.
Zmniejszenie wilgotnoœci (np. w wyniku

dzia³ania wentylatora) podniesie napiê-
cie na wyprowadzeniu 1 i rozpocznie siê
ponowne ³adowanie kondensatora C6.
Po przekroczeniu napiêcia na wejœciu 6
wynikaj¹cego z histerezy komparatora
A, jego stan wyjœciowy zmieni siê na ni-
ski co ponownie zablokuje detektor ze-
ra. R22 i C6 daj¹ opóŸnienie wy³¹czenia
rzêdu kilkunastu sekund. Histereza kom-
paratora zapobiega niepo¿¹danym krót-
kotrwa³ym w³¹czeniom wentylatora
w stanach przejœciowych (wilgotnoœæ na
granicy zadzia³ania uk³adu).

Detektor przejœcia przez zero umo¿-

liwia za³¹czanie triaka impulsami w mo-
mentach minimalnego przep³ywu pr¹-
du. Daje to zmniejszenie ewentualnych
zak³óceñ wytwarzanych przez sterow-
nik. Detektor zrealizowano na wzmac-
niaczu D. Napiêcie zmienne z dzielnika
R17, R18 podawane jest przez rezystory
R15 i R16 na wejœcia wzmacniacza.
Wzmacniacz jest sterowany ró¿nicowo.
Dziêki asymetrii wejœæ i kszta³towi sinu-
soidalnemu napiêcia wejœciowego, na-
piêcie wyjœciowe osi¹ga maksima w mo-

mentach przejœcia przez zero i ma
kszta³t dodatnich po³ówek sinusoidy.
Symetriê po³ówek mo¿na poprawiæ
przez dobór rezystancji R14. Detektor
jest unieruchamiany tranzystorem T4.
Ujemne napiêcie na bazie T4 blokuje
detektor a dodatnie w³¹cza. W stanie
zablokowania napiêcie wyjœciowe de-
tektora jest ujemne.

Komparator wykorzystuj¹cy wzmac-

niacz C wytwarza na wyjœciu ujemne im-
pulsy przy dzia³aj¹cym detektorze zera.
Przy zablokowanym detektorze napiêcie
wyjœciowe komparatora ma wartoœæ
zbli¿on¹ do dodatniego napiêcia zasila-
j¹cego. Szerokoœæ impulsów reguluje siê
przez zmianê poziomu odniesienia rezy-
storem nastawnym P2.

Tak wiêc du¿a wilgotnoœæ spowodu-

je w³¹czenie detektora zera i na wyjœciu
komparatora C uzyskamy ci¹g impulsów
ujemnych. Przez R11 i C5 podawane s¹
do bazy tranzystora T1. Za³¹czanie tran-
zystora wymusza przep³yw pr¹du bram-
ki za³¹czaj¹c triak i w efekcie wentyla-
tor. Zmniejszenie wilgotnoœci poni¿ej
progu okreœlonego w³aœciwoœciami czuj-
nika i nastaw¹ P3 spowoduje wy³¹cze-
nie wentylatora.

Monta¿ przeprowadziæ zgodnie

z rysunkiem rozmieszczenia elementów.
Rezystory R1, R2, R3, R6, R22, R27 za-
montowaæ na wysokoœci 3 mm nad po-
wierzchni¹ p³ytki drukowanej. Tranzy-
story i triak zamontowaæ na d³ugoœæ wy-
prowadzeñ oko³o 5 mm. Do pod³¹cza-
nia przewodów proponujê zamontowaæ
zaciski œrubowe tzw. kostki.

Szczególn¹ uwagê zwróciæ na kon-

densator C1. Powinien to byæ specjalny
kondensator na napiêcie zmienne
250 V oznaczony znakiem bezpieczeñ-
stwa. W przypadku trudnoœci z naby-
ciem mo¿na dopuœciæ kondensator na
napiêcie sta³e 630 V.

Obawiaj¹c siê problemów z naby-

ciem rezystancyjnego czujnika wilgotno-
œci proponujemy wykonanie we w³a-
snym zakresie. W tym celu bêdzie po-
trzebny kawa³ek bibu³y lub kartonik
o wymiarach 10×10 mm. Bibu³ê nas¹-
czyæ roztworem soli kuchennej (szczypta
soli i ³y¿eczka wody). Po wysuszeniu bi-
bu³y zamocowaæ j¹ na p³ytce drukowa-
nej przez dociœniêcie dwoma pocyno-
wanymi drutami o œrednicy 0,6 mm

11

4/99

Monta¿ i uruchomienie

ARTKELE

457

ARTKELE

457

BIBU£A

C4

R19

P2

P1

P3

D6

R25

R23

R22

R24

R21

R20

CD4001

0

US1

R7

T3

R6

R4

C6

B

D1

D3

R2

R1

R15

B

S

~

R16

A

R12

T4

R14

R13

TR1

T1

D5

R5

Ch

S

C3

C2

C5

R11

R9

R8

T2

R10

D2

TL084

US2

A

C7

R17

R18

R3

C1

D4

R27

R26

Rys. 3 P³ytka drukowana i rozmieszczenie elementów

background image

uzyskanymi z obciêtych wyprowadzeñ
elementów. Nie zalecamy stosowania
drutu srebrzonego. Druty po dociœniêciu
zalutowaæ z drugiej strony p³ytki.

Odlutowaæ zworê ³¹cz¹c¹ czujnik

z wejœciem wzmacniacza i zmierzyæ jego
rezystancjê. Powinna wynosiæ co naj-
mniej 1 MW. Zwiêkszyæ wilgotnoœæ czuj-
nika np. przez kilkakrotne chuchniêcie
i ponownie zmierzyæ rezystancjê. Powin-
na byæ mniejsza ni¿ poprzednia wartoœæ.
Wartoœæ rezystora R25 dobraæ na zbli¿o-
n¹ do zmierzonej po zwiêkszeniu wilgot-
noœci. Ponownie zalutowaæ zworê.

Po sprawdzeniu poprawnoœci mon-

ta¿u mo¿na przyst¹piæ do uruchomienia
sterownika. Do uruchomienia niezbêd-
ny bêdzie multimetr i neonówka. Wska-
zany jest transformator oddzielaj¹cy,
a obowi¹zkowo towarzystwo drugiej
osoby, któr¹ nale¿y poinformowaæ jak
wy³¹czyæ zasilanie w przypadku pora¿e-
nia pr¹dem – czego nikomu nie ¿yczy-
my.

PODCZAS URUCHAMIANIA

ZACHOWAÆ OSTRO¯NOŒÆ –

NAPIÊCIE SIECI 220 V

Do zacisku Ch dolutowaæ (przykrê-

ciæ) przewód w izolacji o d³ugoœci 5 cm
odizolowany na koñcu. Rezystory na-
stawne P1, P2, P3 ustawiæ w œrodkowe
po³o¿enia. Najpierw pod³¹czyæ tylko za-
silanie bez silnika. Tak odwróciæ wtyczkê
sieciow¹ by na masie uk³adu (0) by³o
zero zasilania. Nale¿y upewniæ siê o tym
wykorzystuj¹c neonówkê. Neonówka
przytykana do masy nie powinna siê
œwieciæ. Przytykana do przewodu fazo-
wego (~S) powinna œwieciæ.

Zmierzyæ napiêcia zasilania wzglê-

dem masy. Powinny wynosiæ oko³o
10 V (dodatnie i ujemne). Sprawdziæ
napiêcie na bazie T1 powinno byæ zbli-
¿one do 0 V. Napiêcie na bramce triaka
powinno wynosiæ 0 V. Przytkn¹æ na
krótko przewód Ch do zacisku ~S.
Sprawdziæ napiêcie na bramce triaka -
powinno byæ ujemne. Jeœli w dalszym

ci¹gu wynosi 0 V sprawdziæ czy nie spa-
da zbyt nisko ujemne napiêcie zasilania.
Jeœli tak, to nale¿y zwiêkszyæ pojemnoœæ
kondensatora C1 (maksymalnie do
470 nF). Zewrzeæ kondensator C4. Na-
piêcie na bramce triaka powinno wyno-
siæ 0 V. Ewentualnie sprawdziæ czas w³¹-
czenia triaka. Przy skrêconym na mini-
mum rezystorze P1 powinien wynosiæ
oko³o 1 min. Przy rezystorze na max po-
winien wynosiæ 3 min. Ewentualnie do-
braæ wartoœæ rezystora R4 jeœli wymaga-
ny jest inny czas w³¹czenia.

WSZYSTKIE POPRAWKI WYKONYWAÆ

PRZY OD£¥CZONYM ZASILANIU.

Przystêpujemy do uruchomienia

i regulacji uk³adu czujnika wilgotnoœci.
Sprawdziæ napiêcie na wyprowadzeniu
6 US2. Powinno byæ dodatnie. Napiêcia
na wyprowadzeniach 1 i 14 tak¿e po-
winny byæ dodatnie (8÷10 V). Na wy-
prowadzeniach 7 i 8 US1 powinny byæ
napiêcia ujemne.

Skrêciæ na minimum rezystor na-

stawny P3. Napiêcie na wyprowadzeniu
6 US1 powinno zmieniæ siê na ujemne.
Ewentualnie zwil¿yæ czujnik wilgotnoœci
lub zwiêkszyæ rezystancjê R25. Napiêcie
na wyprowadzeniu 7 powinno byæ do-
datnie. Reguluj¹c rezystorem nastaw-
nym P2 uzyskaæ zmniejszenie napiêcia
na wyprowadzeniu 14 (w odniesieniu
do poprzedniej wartoœci). Œwiadczy to
o wystêpowaniu impulsów ujemnych.
Zmieniaj¹c po³o¿enie suwaka P3 na
maksimum sytuacja powinna wróciæ do
poprzedniej z

opóŸnieniem oko³o

10 s (wysoki poziom na wyprowadzeniu
6 itd.). Wy³¹czyæ zasilanie.

Pod³¹czyæ silnik wentylatora do za-

cisków ~S i S. W³¹czyæ zasilanie. Silnik
na krótko powinien siê w³¹czyæ (³ado-
wanie C6). Zewrzeæ zacisk Ch z ~S silnik
powinien siê w³¹czyæ i wy³¹czyæ po
up³ywie ustawionego czasu. Ustawiæ re-
zystor P3 w pobli¿e minimum i zwil¿yæ
czujnik wilgotnoœci Silnik powinien siê
w³¹czyæ. reguluj¹c P2 uzyskaæ maksi-
mum obrotów. Zmiana po³o¿enia suwa-
ka P3 na max powinna wy³¹czyæ silnik
(z opóŸnieniem). Od³¹czyæ zasilanie, sil-
nik i przewód od zacisku Ch.

Pod³¹czenia sterownika wykonaæ

zgodnie ze schematem po³¹czeñ (rys. 4).
Przewodem trój¿y³owym w podwójnej
izolacji, o przekroju co najmniej 0,5 mm

2

doprowadziæ napiêcie fazowe, 0 i na-

piêcie z prze³¹cznika chwilowego. Silnik
pod³¹czyæ przewodem dwu¿y³owym
w podwójnej izolacji, o takim samym
przekroju. Zwróciæ uwagê na prawid³o-
we pod³¹czenie zera i fazy. Sprawdziæ to
neonówk¹. Dobraæ eksperymentalnie
próg dzia³ania czujnika wilgotnoœci re-
guluj¹c P3. Nie regulowaæ podczas k¹-
pieli, ani nie ³apaæ siê ¿adnych metalo-
wych urz¹dzeñ ³azienkowych podczas
regulacji.

P³ytki drukowane wysy³ane s¹ za zali-
czeniem pocztowym. P³ytki mo¿na za-
mawiaæ w redakcji PE.
Cena: 4,00 z³ + koszty wysy³ki.

12

4/99

0

Sterownik

WCh

M

S

Ch

0

~

S

~220V (faza)

Rys. 4 Schemat po³¹czeñ

à

à R.K.

US1

– CD 4001

US2

– TL 084

TR1

– TI C225D, BT 136

T1÷T4

– BC 557B

D1, D2

– BZP 630C12

D3, D4

– 1N4005

D5, D6

– 1N4148

R3, R11

– 100 W

W/0,125 W

R2

– 220 W

W/0,25 W

R5

– 1 kW

W/0,125 W

R23, 26

– 2,7 kW

W/0,125 W

R8÷R10,

R19

– 10 kW

W/0,125 W

R18

– 27 kW

W/0,125 W

R7

– 47 kW

W/0,125 W

R25

– 100 kW

W/0,125 W

R12

– 330 kW

W/0,125 W

R4

– 470 kW

W/0,125 W

R14

– 510 kW

W/0,125 W

R1, R6,

R17, R27

– 1 MW

W/0,25 W

R13, R15, R16,

R20÷R22, R24 – 1 MW

W/0,125 W

P2, P3

– 100 kW

W TVP 1230

P1

– 1 MW

W TVP 1230

C7

– 10 nF/50 V ceramiczny

C5

– 100 nF/63 V MKSE–20

C1

– 330 nF/~250 V

C6

– 4,7 m

mF/25 V 04/U

C2, C3, C4

– 220 m

mF/16 V

CW

– rezystancyjny czujnik

wilgotnoœci

p³ytka drukowana

numer 457

Wykaz elementów

Pó³przewodniki

Rezystory

Kondensatory

Inne

background image

Uk³ad migaj¹cej strza³ki z wykrzyk-

nikiem mo¿e pos³u¿yæ jako œmieszna
elektroniczna zabawka, lub te¿ spe³niaæ
rozmaite funkcje informacyjne, na
przyk³ad jako kierunkowskaz do rowe-
ru, mo¿e te¿ pokazywaæ drogê ewakua-
cji w budynkach.

„Napêdem” uk³adu jest generator,

czyli uk³ad wytwarzaj¹cy zmienne na-

piêcie prostok¹tne. Oznacza to, ¿e na
wyjœciu generatora albo jest napiêcie,
albo go nie ma. Stan przy którym na
wyjœciu generatora jest napiêcie nazy-
wamy stanem wysokim, lub jedynk¹
logiczn¹, stan przy którym nie ma na-
piêcia jest stanem niskim lub zerem lo-
gicznym. Jeszcze jednym parametrem
charakteryzuj¹cym generator jest czê-

stotliwoϾ pracy, czyli szybkoϾ zmie-
niania siê stanów na jego wyjœciu.

Generator sk³ada siê z kilku ele-

mentów, z których najwa¿niejszym jest
specjalny uk³ad scalony US1 zawiera-
j¹cy w swoim wnêtrzu kilkanaœcie
tranzystorów i rezystorów. Oprócz
uk³adu scalonego w sk³ad generatora
wchodz¹ elementy R1, R2, C1. Ich

13

Ró¿nego rodzaju zabawki z efektami œwietlnymi ciesz¹ siê nie-
s³abn¹cym powodzeniem wœród najm³odszych czytelników Prak-
tycznego Elektronika. Z myœl¹ o nich przedstawiamy uk³ad miga-
j¹cej strza³ki, który mo¿na ³atwo zamieniæ w wykrzyknik. To pro-
ste urz¹dzenie nie wymaga wielkiej znajomoœci elektroniki, a bu-
duj¹c je mo¿na siê czegoœ nowego nauczyæ. Poza tym urz¹dzenie
nie wymaga ¿adnej regulacji ani uruchamiania, a jego dzia³anie
widaæ wyraŸnie zaraz po w³¹czeniu zasilania. Dodatkow¹ zalet¹
artyku³u jest prosty jêzyk w jakim zosta³ on napisany, tak ¿e po-
winien on byæ zrozumia³y nawet dla najm³odszych raczkuj¹cych
elektroników.

4/99

Migaj¹ca strza³ka

z wykrzyknikiem

10k

8

10mF

8

D9

D9*

QD

2

C1

C2

47n

R14

10k

T8

R*

R6

100k

180p

6

1

5

2

1N4148

7

D8

D8*

QC

R

11

14

R2

2,2k

US1

NE555

3

CD4015

US2-B

R13

R*

T7

10k

C3

7

15k

8

4

D1

6

D7

D7*

QB

R12

12

1

R1

T6

R*

10k

DATA

15

5

D6

D6*

33k

R3

QA

R11

13

10k

T5

R*

4

D5

D5*

QD

10

R*

T4

10k

R10

3

D4

D4*

QC

R

6

3

10k

T3

R*

R9

US2-A

CD4015

Z2

2

D3

D3*

R8

QB

4

9

10k

R*

T2

DATA

7

Z1

1

8 × BC547B

D2*

D2

10k

R4

R7

QA

5

R5

47k

C4

100mF

47n

C5

R*

T1

16

+6÷15V

Rys. 1 Schemat ideowy migaj¹cej strza³ki

background image

wartoœci decyduj¹ o czêstotliwoœci pra-
cy generatora.

Jak dzia³a taki generator? Schemat

blokowy uk³adu scalonego generatora
zamieszczono na rysunku 2. Uk³ad
sk³ada siê z przerzutnika RS, dwóch
komparatorów, dzielnika napiêciowego
i dodatkowego tranzystora. Dzielnik
napiêciowy dostarcza napiêcia referen-
cyjnego o wartoœci 2/3 napiêcia zasila-
nia do komparatora K1 i napiêcia 1/3
zasilania do komparatora K2.

W pierwszej fazie Przez rezystory

R1 i R2 ³adowany jest kondensator C1.
W tym czasie wyjœcie komparatora K1
jest w stanie niskim, a komparatora K2
w stanie wysokim. Zatem zanegowane
wyjœcie przerzutnika RS jest w stanie
niskim, a wyjœcie uk³adu 555 w stanie
wysokim. Tranzystor T jest zatkany.

Gdy napiêcie na kondensatorze

C1 przekroczy wartoœæ 1/3 napiêcia
zasilaj¹cego komparator K2 zmieni
stan swojego wyjœcia na niski, nie po-
woduj¹c jednak zmiany stanu prze-
rzutnika RS.

Po osi¹gniêciu na kondensatorze na-

piêcia 2/3 zasilania komparator K1 zmie-
ni stan wyjœcia na wysoki, wywo³uj¹c
zmianê ustawienia przerzutnika. Wyjœcie
uk³adu zmienia wtedy stan na niski.
W tym samym czasie zostaje w³¹czony
tranzystor T i kondensator C1 zaczyna siê
roz³adowywaæ przez rezystor R2. Trwa to
a¿ do czasu gdy napiêcie na kondensato-
rze spadnie do wartoœci 1/3 napiêcia zasi-
lania. Wtedy ponownie zmieni siê stan
przerzutnika, a tranzystor zostanie zatka-
ny. Ca³y cykl powtarza siê.

Szybkoœæ ³adowania i roz³adowy-

wania siê kondensatora C1 zale¿y od
jego pojemnoœci i i wartoœci rezysto-
rów R1 i R2. Zmieniaj¹c wartoœci tych
elementów mo¿na w szerokim zakresie
regulowaæ czêstotliwoœæ pracy genera-
tora.

Wyjœcie generatora po³¹czone jest

z wejœciami zegarowymi dwóch reje-
strów przesuwnych znajduj¹cych siê
w uk³adzie CD 4015 (US2). Rejestr
przesuwny (rys. 3) posiada wejœcie
szeregowe „Dane” i cztery wyjœcie
równoleg³e Q1÷Q4. Sk³ada siê on
z czterech szeregowo po³¹czonych
przerzutników D.

W chwili gdy na wejœciu zegaro-

wym pojawi siê dodatnie zbocze stan
wejœcia „Dane” zostanie zapisany
w pierwszym przerzutniku. Równocze-
œnie pojawi siê on na wyjœciu Q1. Ko-
lejne zbocze przebiegu zegarowego
spowoduje przepisanie stanu pierw-
szego przerzutnika do drugiego prze-
rzutnika. Kolejne takty zegara bêd¹
przesuwa³y informacje do dalszych

przerzutników. St¹d pochodzi nazwa
rejestru przesuwnego.

Uk³ad migaj¹cej strza³ki umo¿li-

wia uzyskanie dwóch efektów œwietl-
nych. Pierwszy otrzymuje siê przy
zamontowanej zworze Z1. Po w³¹-
czeniu zasilania wszystkie wyjœcia
obu rejestrów przesuwnych s¹ w sta-
nie niskim, zatem tranzystory T1÷T9
pozostaj¹ zatkane. W tej sytuacji
na wejœciu DATA US2-A wystêpuje stan
wysoki wprowadzany przez rezys-
tor podci¹gaj¹cy R5. Pierwszy takt
zegara pochodz¹cy z generatora US1
spowoduje przepisanie stanu wejœ-
cia DATA na wyjœcie QA pierwszego
rejestru. Pojawienie siê stanu wysokie-
go na wyjœciu QA w³¹cza tranzystor T1,
co powoduje zapalenie siê diod D1,
D2*. Kolejny takt zegara zapala
przesuwa jedynkê z wyjœcia QA na wyj-
œcie QB, jednoczeœnie wpisuj¹c stan
wysoki na wyjœcie QA. W efekcie kolej-
nych taktów wszystkie wyjœcia rejestru
przesuwnego zape³niaj¹ siê po kolei
jedynkami, zapalaj¹c kolejno diody
D3, D4 itd.

W chwili gdy jedynka dotrze do

ostatniego wyjœcia QD (US2-B) tranzy-
stor T8 w³¹czy siê, zapalaj¹c diodê D9,
D9*. Jednak¿e w³¹czony tranzystor T8
zewrze wejœcie DATA z mas¹. Spowo-
duje to podczas kolejnych taktów ze-
gara wpisywanie zer do rejestru i ga-
szenie diod pocz¹wszy od D2, D2*.
Gdy zgasn¹ wszystkie diody tranzystor
T8 zostanie zatkany i na wejœcie DATA
ponownie zostanie podana jedynka.
Ca³y cykl powtórzy siê. Mo¿e siê zda-
rzyæ, ¿e w czasie pracy, np. na wskutek
zak³ócenia wejœcie DATA chwilowo
zmieni stan z jedynki na zero, wtedy
wzd³u¿ zapalaj¹cych siê diod bêdzie
przesuwaæ siê jedna (lub kilka diod
zgaszonych) spowoduje to niezamie-
rzony, ale ciekawy efekt.

14

4/99

VEE

1

T

R

Wyzwalanie

S

2

100W

K2

7 Roz³adowywanie

R

R

Steruj¹ce

5

3 Wyjœcie

Napiêcie

Q

Prze³¹czania

Próg

R

6

RESET

K1

VCC

8

4

Zerowanie

Rys. 2 Schemat blokowy tajmera 555

R

R

R

R

Zerowanie

Zegar

Q

CLK

CLK

Q

CLK

Q

CLK

Q

D

Q

Dane

Q

D

Q

D

Q

D

Q1

Q2

Q3

Q4

Rys. 3 Schemat blokowy rejestru przesuwnego

background image

W drugim trybie pracy, przy zwar-

tej zworze Z2 efekt œwietlny jest zbli-
¿ony. Wejœcie DATA ca³y czas jest
w stanie jedynki. Diody D2÷D9 zapa-
laj¹ siê kolejno przez ca³y czas na ko-
lektorze tranzystora T8 wystêpuje stan
wysoki. Przez zworê Z2 i rezystor R3
stan wysoki doprowadzony jest do
anoda katody diody D1. Dziêki temu
przez kondensator C3 nie przechodzi
¿aden impuls. W chwili gdy zapali siê
dioda D9, kolektor tranzystora T8 zo-
stanie zwarty z mas¹. W takiej sytuacji
niski poziom napiêcia zostanie dopro-
wadzony do katody D1. Wraz z nara-
staj¹cym zboczem przebiegu zegaro-
wego doprowadzonym do diody D1
zostanie wytworzony w uk³adzie ró¿-
niczkuj¹cym C3, R6 dodatni impuls ze-
ruj¹cy licznik. Zgasn¹ tym samym
wszystkie diody i ca³a zabawa zacznie
siê od nowa.

Urz¹dzenie mo¿e byæ zasilane w sto-

sunkowo szerokim zakresie 6÷15 V.

Wartoœæ napiêcia zasilania zale¿y
g³ównie od liczby diod po³¹czonych
szeregowo. Tak¿e od liczby diod zale¿y
wartoœæ rezystorów R*. W ka¿dej z ga-
³êzi mo¿e byæ ró¿na liczba diod, lecz
poci¹ga top za sob¹ ró¿ne wartoœci re-
zystorów w ga³êziach. Minimaln¹ war-
toœæ napiêcia zasilania i wartoœæ rezy-
storów R* mo¿na obliczyæ na podsta-
wie poni¿szych wzorów:

gdzie:
n – liczba diod w ga³êzi

Dla przyk³adu w strza³ce zastoso-

wano dwie szeregowo po³¹czone diody
we wszystkich ga³êziach, za wyj¹tkiem
ostatniej w której umieszczono poje-

dyncz¹ diodê. Wtedy minimalna war-
toœæ napiêcia zasilaj¹cego wynosi:

Natomiast rezystor R* ma wartoϾ:

a, dla ostatniej ga³êzi, z pojedyncz¹
diod¹ R* wynosi:

Wraz z p³ytk¹ uk³adu generatora

i rejestrów przesuwnych umieszczono
p³ytki ze strza³k¹ i wykrzyknikiem.
Mo¿na oczywiœcie wykonaæ inne znaki
graficzne wlutowuj¹c diody w p³ytkê
uniwersaln¹. Na zakoñczenie ¿yczê
przyjemnej zabawy.

P³ytki drukowane wysy³ane s¹ za zali-
czeniem pocztowym. P³ytki mo¿na za-
mawiaæ w redakcji PE.
Cena: 4,95 z³ + koszty wysy³ki.

15

4/99

à

à Rafa³ KoŸmiñski

[ ]

[ ]

[ ]

[ ]

[ ]

R

U

V

n

V

V

A

z

*

,

,

W =

- ×

-

2

0 2

0 01

[ ]

[ ]

[ ]

U

V

V

V

V

z

= ×

+

=

2 2

2

6

[ ]

[ ]

[ ]

[ ]

[ ]

[ ]

R

V

V

V

A

*

,

,

W

W

=

- ×

-

=

6

2 2

0 2

0 01

180

US1

– NE 555

US2

– CD 4015

T1÷T8

– BC 547B

D1

– 1N4148

D2÷D9

– diody LED

R2

– 2,2 kW

W/0,125 W

R4,

R7÷R14

– 10 kW

W/0,125 W

R1

– 15 kW

W/0,125 W

R3

– 33 kW

W/0,125 W

R5

– 47 kW

W/0,125 W

R6

– 100 kW

W/0,125 W

R*

– patrz opis w tekœcie

C3

– 180 pF/50 V ceramiczny

C2, C5

– 47 nF/50 V ceramiczny

C1

– 10 m

mF/25 V

C4

– 100 m

mF/16 V

W£1÷W£3 – mikro³¹czniki

p³ytka drukowana

numer 426

Wykaz elementów

Pó³przewodniki

Rezystory

Kondensatory

Inne

ARTKELE 449

ARTKELE 449

D3

D2

D1

3

2

1

+

D3*

D3

D2*

K

D2

A

7

T7

R*

R13

D1

Z2

Z1

R4

R5

R3

R14

R*

T8

8

D6

D5

D4

8

7

6

5

4

A

K

R2

D7**

D7***

D7

D7*

D4*

D5*

D4

D5

D6

1

2

T1

T2

R*

R8

R7

US2

C1

C3

US2

CD4015

R6

R9

R10

R11

R12

R*

R*

R*

R*

T3

T4

T5

T6

3

4

5

6

D8

D8**

D7

+

D8***

D9

D8*

C4

C5

T

+

C2

NE

555

R1

R*

Rys. 4 P³ytka drukowana i rozmieszczenie elementów

[ ]

[ ]

[ ]

U

V

n

V

V

z

= ×

+

2

2

[ ]

[ ]

[ ]

[ ]

[ ]

[ ]

R

V

V

V

A

*

,

,

W

W

=

- ×

-

=

6

1 2

0 2

0 01

380

background image

Kupiê odstraszacz psów - schemat z opisem. Pilne.
Marek Wójcik 26-200 Koñskie Grabków 1
tel. 090 317012
Radiotelefon Handie-Com S240 Motorola 5 sztuk oraz
kolekcjê ró¿nych nadajników na podzespo³ach Motoro-
li sprzedam po cenie zu¿ytych podzespo³ów. Wojciech
Samoraj 06-500 M³awa, ul. M. Konopnickiej 3
tel. 023 65432
Sprzedam: komputer PC 286 HDD 44 MB, FDD: 1,44
i 1,2 MB monitor Samsung mono, klawiatura, mysz.
Pe³ne oprogramowanie + programy u¿ytkowe, gry +
drukarka ig³owa NEC 24 ig³y Pinwriter P2200 cena:
550 z³ Tomasz Matusiak ul. Nocznickiego 10/2 68-100
¯agañ tel. 3775989 po 16:00
Chcesz dorobiæ do pensji, kieszonkowego. Napisz. Zao-
patrzenie zbyt gwarantowane umow¹. Informacja gra-
tis. Do³¹cz znaczek za 1,5 z³. Krystyna Wiœniewska ul.
Bytowska 31 89-600 Chojnice
Sprzedam sch. ideowe kolorofonu c-23B - 5 z³, korek-
tora FS-042 - 5 z³, mininadajników FM - 15 z³ za 4 szt.
PE 1/6 - 10/97 - 2,5 z³/szt. Ciê¿arówki Œwiata 92 - 10 z³
TV Rubin 714 - brak wizji - 98 z³ inf kop + znaczek Je-
rzy Maækowski ul. Jeziorna 1 86-182 Œwiekatowo
Sprzedam zasilacz serwisowy 10A - 100 z³, telefon bez-
przewodowy - 100 z³, automatyczna sekretarka - 80 z³,
tunery sat. - 50 z³, stroboskop - 40 z³, prostownik 10A -
40 z³, CD - Sony - 100 z³. Norbert Jenczmionka ul. Po-
mnikowa 26/14 47-400 Racibórz tel. (032) 415197
Kupiê system „GEOS” min. 2.0 do C-64 - uruchamiaj¹cy
programy z serii „GEOS” oraz uk³ady scalone AY-3-8210.
Robert Urbaniak ul. Koœciuszki 5a/21 48-300 Nysa
Sprzedam Praktyczny Elektronik od 1992r do
1998r tel. (0601) 547190
Kupiê schemat wykrywacza do metali zasiêg 3m lub
wymieniê na inne schematy. Piotr Kwiatkowski Kol-
Stró¿a 172 23-206 Stró¿a Lubelskie tel. (081) 821084
Sprzedam filtr EMF-9D-500-3W z kwarcem 500 kHz
lub 503,7, przek³. planet. od BC312, mikrofony nowe
poj. MKE271 50 Hz ¸ 20 kHz. Kupiê lampy E810F,
E280F, 6AK5W, sondy do OSA601 lub ich schematy ide-
owe. Jerzy Falkiewicz ul. Smolki 19/42 14-202 I³awa
Wykrywacze metali Tesoro, White's, Minelab, Viking,
Pulse Start II sprzedam A. Wyka ul. lipowa 6a/17
81-572 Gdynia tel. (0602) 224228
Sprzedam diody prostownicze 100 amper 16 szt.
15 z³/szt. tel. (034) 3579395
Poszukujê schematu
OTVC Nordmende co-
lor stereo 6205 VT mo-
¿e byæ ksero. Dariusz
Pierepienko Szarych
Szeregów 7c/8 22-100
Uk³ad scalony STK 043
kupiê. Edward Majew-
ski Kolonia 102 12-140
Œwiêtajno tel. (089)
6226003
Wykonam obwody dru-
kowane jedno i dwu-
stronne z metalizacj¹
Andrzej Moniak Bole-
chowice 107 32-082
woj. Kraków tel.
2853497 po godz. 18

00

Sprzedam uk³. sc. serii
155: KP1, KPIE7,
TM2, £R4, £A3, £N1,
£A8, £A1, IR1, M£3 -
nowe i dem. oraz ta-
œmê przewodz¹c¹ fir-
my 3M - Scotch, a tak-
¿e silniki MSS -

2W (2W - 375 obr/min). Info kop + zn 65 gr ) Ma-
riusz Potocki Ostrowieczko 5/1 63-140 Dolsk
Sprzedam komputer PC286 z 2 dyski po 20MB napêd
dyskietek 5, 25'' i 3,5'' wraz z monitorem pomarañcz
14'' + klawiatura + mysz tel. (058) 3020526 w godz.
16:00 do 18:00. Cena za ca³oœæ 850 z³.
Poszukujê sprawdzonego schematu modu³u „w³¹cz -
wy³¹cz” do uk³adu sterowania pilotem opublikowane-
go w PE nr 5 - 10 '94. Janusz Kosmala 91-811 £ódŸ
Obr. Westerplatte 12a/8
Monta¿ i uruchamianie urz¹dzeñ elektronicznych Marek
Gawron tel. (052) 3844708 od 8

00

do 15

00

oprócz sobót

Kupiê bateriê s³oneczn¹ 12 V lub 24 V o mocy od
250 mA do 2A. Kontakt K. Maciorowski ul. Armii Kra-
jowej 31/30 19-300 E³k
Poszukujê schematów wzmacniaczy samochodowych
powy¿ej 2x40 W. Mariusz Leliñski ul. Kopernika 7/15
09-500 Gostynin tel. (041) 88401
Sprzedam oscyloskop C1-112A 20 MHz wbudowany
multimetr cyfrowy. tel. (089) 5426035 10-684 Olsztyn
ul. Murzynowskiego 22/40 Miros³aw Bochniak
Poszukujê schemat funkcjonalny windy (najlepiej 10
piêtrowej) zbudowanej na uk³. logicznych (bramkach
NAND TTL ) schemat skserujê i zwrócê. Pawe³ Jastrzêb-
ski 21-200 Parczew ul. Spokojna 16
Sprzedam w idealnym stanie CB Radio President Lin-
coln z homologacj¹ par, mikrofon, echo zasilacz 10 am-
per ca³oœæ lub osobno, cena 1000 z³ Kontakt (096)
154458 po 22:00
Mam do wymiany ró¿ne schematy CB Radio, odbiorni-
ków radiowych TV oraz inne do wymiany. Mam starsze
wydania ksi¹¿ek krótkofalarstwo. Stefan Mielczarek
Komarowo 29/1 72-105 Lubczyna
Sprzedam starsze schematy RTV, lampy, prasa elektro-
niczna, ksi¹¿ki fantastyka EP, EdW, ŒR, M³ody Technik,
inne. Wykaz - koperta i znaczek. Roman Korewicki 76-
100 S³awno ul. Polanowska 21 tel. (059) 103928
Kupiê procesor MAB8049PA263 (prod Philips ) do ma-
gnetofonu MDS502, instrukcje serwisowe „Diora” -
WS506, AS506 - RDS - laminaty do FS504, p³ytkê HXPRO
- MDS506, obudowê KPL do: FS504, AS506. Krzysztof
Marek ul. Nowowiejska 93/10 50-340 Wroc³aw
Sprzedam Alan CT-145 - 500 z³. Najmniejszy skaner
WS2000 100 kHz - 1300 MHz bez przerw - 1200 z³.
Radiotelefony nasobne, przewoŸne, bazowe. Kupiê
Alana CT-22. M. Kopczyñski 62-200 Gniezno ul. Pó³-
wiejska 56 tel. (061) 4257779
Uwaga nadaj¹cy !!! Bogata oferta tranzystorów w. cz.
i b. w. cz. prod. WNP, Motoroli, Philipsa / KTXXXX,
KPXXX, MRFXXX, BLWXX, BLYXX /, tanie stab. 78LXX,
79LXX /30 PLN/ 100 szt. /inne, info kop. + zn lub fax.
Tadeusz Sienkiewicz ul. Ksiêcia Janusza 41/43m10 01-
452 Warszawa tel./fax (022) 375738

Sprzedam falownik moc 2,2 kW cena brutto 1300 PLN
do bezstopniowej regulacji prêdkoœci silników induk-
cyjnych zastosowanie napêdy rozruch pomp wentyla-
torów dmuchaw. Jerzy Krupiñski 58-100 Œwidnica ul.
£okietka 31/3
Komputerowy spis wszystkich roczników PE EP EDW EE
RE od 85 katalog w Access lub Excel Win 95 1 dyskiet-
ka ³atwe wyszukiwanie artyku³ów na okreœlony temat
cena 12 z³ + op³ata poczt. Mariusz Dulewicz ul. Kr. Ja-
dwigi 9b/5 76-150 Dar³owo tel. (094) 3146715
Tanio kupiê Black Box-a 8 do C-64 oraz programy u¿yt-
kowe na kasecie. Tomasz Konopka ul. Rycerska 1a/2
05-120 Legionowo
Kupiê schemat lub instrukcjê serwisow¹ tunera TSH
110 (mo¿e byæ ksero). Janusz M¹czyñski Mielno 5
66-634 Strzegów
Wykrywacz metali o zasiêgu 3,5 m dwa rodzaje pracy
funkcja ruch i bezruch dyskryminacja sprzedam cena
do 950 z³. W zale¿noœci od zestawu. Waldemar Kopiñ-
ski 24-103 ¯yrzyn tel. (081) 8814184
Sprzedam komputer Schneider CPC 464 - na czêœci
(mo¿e byæ uszkodzony), trzy okr¹g³e szk³a do kolorofo-
nów. W³odzimierz Zawisza ul. Kasztanowa 1/2
33-101 Tarnów
Sprzedam grê telewizyjn¹ „Pegasus” komplet cena
45 z³. B³a¿ej Lewandowski 87-140 Che³m¿e ul. Sikor-
skiego 28/17 tel. (056) 6752219 od 15:00
Kupiê TMS-3763, TMS-4464 do C-64. Bart³omiej Lew-
ko ul. Pogodna 14 22-670 Be³¿ec
Kupiê odbiornik nas³uchowy lampowy oraz ksi¹¿ki,
miesiêczniki z zakresu RTV i krótkofalarstwa. R. Pilewski
ul. Broniewskiego 12 09-200 Sierpc
Stacje lutownicze 50 W reg. temp. 150÷450°C. Sprze-
dam cena 155 z³. Info gratis tel. (058) 5525882
Sprzedam analizator widma z

generatorem

015-1050 MHz HM-5011. Cena 8700 z³. Kupiê uk³ady
FX365 TC9309 AF-119 £añcut Br. Œniadeckich35
tel. (017) 2254372
Sprzedam g³owicê UKF GFE110 na zachodnie pasmo
do tunerów Diory cena 23 z³. Andrzej Zwarycz 50-520
Wroc³aw ul. Lniana 13/1 tel. (0501) 186225
Poszukujê komputera typu Pentium oraz sprzedam
oscyloskop radziecki 2x60 MHz Rafa³ Taraszka
tel. (014) 792150
Schematy wzmacniaczy i efektów gitarowych sprzedam
zamieniê Tadeusz Bernat Kopernika 7/50 86-200
Che³mno tel. (056) 6860489
Sprzedam radiotelefony nasobne, przewoŸne, bazowe
152 i 330 MHz. Informacje po otrzymaniu koperty
i znaczka.
Wykonam obwody drukowane jedno i dwustronne po-
jedyncze i krótkie serie. A. Moniak Bolechowice 107
32-082 pow. Kraków tel. 012 2853497 po godz. 18

00

GIE£DA

16

4/99

background image

Opisany poni¿ej programator

umo¿liwia programowania nastêpuj¹-
cych typów procesorów :
AT89C1051

– zawiera 1kB Flash,

obudowa DIL 20;

AT89C2051

– zawiera 2kB Flash,

obudowa DIL 20;

AT89C4051

– zawiera 4kB Flash,

obudowa DIL 20;

AT89C51

– zawiera 4kB Flash,

obudowa DIL 40;

AT89C52

– zawiera 8kB Flash,

obudowa DIL 40.

Wszystkie powy¿sze procesory wy-

magaj¹ do zaprogramowania napiêcia
V

pp

= 12 V. Dwa ostatnie procesory do-

stêpne s¹ te¿ w wersji z V

pp

= 5 V. Maj¹

one oznaczenia:
AT89C51-5;
AT89C52-5.

Firma Atmel zapewnia dla swoich

procesorów minimum 1000 cykli pro-
gramowanie/kasowanie. Oznacza to, ¿e
ka¿dy procesor powinien daæ siê prze-
programowaæ co najmniej 1000 razy.
Jest to iloœæ w zupe³noœci wystarczaj¹ca
do uruchomienia konstruowanego
urz¹dzenia.

Programator w po³¹czeniu z do-

brym programem asemblera jest tak¿e
doskona³ym narzêdziem do nauki pro-
gramowania i konstruowania syste-
mów mikroprocesorowych, a

jego

koszt jest znacznie ni¿szy od ró¿nego
rodzaju dydaktycznych makiet urucho-
mieniowych.

Schemat programatora z³o¿ony jest

z dwóch czêœci. Pierwsza (rys. 1) przed-
stawia po³¹czenia miêdzy elementami
elektronicznymi tworz¹cymi uk³ad pro-
gramatora, druga zaœ (rys. 2) pokazuje
sposób po³¹czenia podstawek pod pro-
gramowane procesory z uk³adem z ry-
sunku 1. Najkorzystniej jest zastosowaæ
podstawki precyzyjne, które s¹ tanie
oraz trwalsze i wygodniejsze w u¿yciu
ni¿ zwyk³e. Mo¿na te¿ pokusiæ siê o za-
stosowanie podstawek z dŸwigni¹.

Programator po³¹czony jest z kom-

puterem IBM-PC przez z³¹cze drukarki
przewodem 14-¿y³owym o d³ugoœci ok.
1,5 m (nie jest wymagany port dwukie-
runkowy). Najlepiej nadaje siê do tego
celu wstêga przewodów komputero-
wych lub 14-¿y³owy kabel ekranowany
zakoñczony wtykiem typu DB25. Port
drukarki posiada 12 linii wyjœciowych,
przez które przekazywane s¹ sygna³y
steruj¹ce do uk³adu programatora. Ca³y
programator z³o¿ony jest z 5 cyfrowych
uk³adów scalonych i paru elementów
dyskretnych.

Uk³ady US1, US2, US3 stanowi¹

8-bitowe rejestry–zatrzaski, których
wejœcia s¹ po³¹czone ze sob¹ i do³¹czo-
ne do 8 linii wyjœciowych z³¹cza drukar-
ki (gniazdo G1 nó¿ki 2÷9). Wpis da-
nych do rejestrów odbywa siê przez po-
danie stanu wysokiego na wejœcie
C Рwtedy stany logiczne z wejϾ

D1÷D8 rejestrów przepisywane s¹ na
ich wyjœcia Q1÷Q8. Gdy na wejœciu
C rejestrów jest poziom niski, to zmiana
stanów na wejœciach D1÷D8 nie powo-
duje zmiany stanów na wyjœciach
Q1÷Q8 - rejestry pamiêtaj¹ dane.

Wejœcia C steruj¹ce wpisem i pa-

miêtaniem danych sterowane s¹ przez
trzy linie z³¹cza drukarki. Ostatnia wol-
na linia tego z³¹cza jest do³¹czona do
wejœcia OC uk³adu US1. Jeœli wyst¹pi na
niej wysoki poziom logiczny, to wyjœcia
Q1÷Q8 uk³adu US1 przejd¹ w stan wy-
sokiej impedancji. W przeciwnym przy-
padku na tych wyjœciach bêd¹ panowa-
³y okreœlone stany logiczne wpisane
wczeœniej wysokim stanem na wejœciu
C uk³adu US1.

Wyjœcia Q1÷Q8 rejestru US1 po³¹-

czone s¹ z wejœciami procesora, przez
które podawane s¹ kolejne bajty do za-
programowania, oraz z wejœciami uk³a-
du US4, który jest 8-bitowym rejestrem
przesuwaj¹cym z wejœciami równoleg³y-
mi i szeregowym wyjœciem. Wyjœcie re-
jestru przesuwaj¹cego po³¹czone jest
z lini¹ wejœciow¹ z³¹cza drukarki. Umo¿-
liwia to odczyt-weryfikacjê ka¿dego za-
programowanego bajtu danych i wykry-
cie ewentualnych b³êdów programowa-
nia, b¹dŸ te¿ odczyt kodu programu
znajduj¹cego siê w procesorze i jego za-
pis do pliku w komputerze.

Zastosowanie szeregowego rejestru

przesuwaj¹cego jest konieczne za wzglê-
du na to, ¿e z³¹cze drukarki (szczególnie
jednokierunkowe) posiada tylko 5 linii
wejœciowych, a dla odczytu jednego
bajtu (8 bitów) potrzebne jest 8 wejœæ.
Takie rozwi¹zanie umo¿liwia te¿ zao-
szczêdzenie kilku dodatkowych ¿y³ prze-
wodu po³¹czeniowego pomiêdzy uk³a-
dem programatora, a komputerem.

Podczas odczytu kodu programu za-

pisanego w procesorze wyjœcia uk³adu

17

Jednymi z najbardziej popularnych obecnie procesorów wykorzystywa-
nych przez amatorów i hobbystów s¹ procesory rodziny 51 produkowa-
ne przez firmê Atmel. Procesory te zawieraj¹ wewnêtrzn¹ pamiêæ pro-
gramu Flash, co pozwala wyeliminowaæ zewnêtrzn¹ pamiêæ EPROM,
a tym samym znacznie uproœciæ konstrukcjê budowanego urz¹dzenia.
Procesory s¹ ogólnie dostêpne w sklepach na terenie ca³ego kraju. Aby
jednak zmusiæ je do wykonywania zamierzonych zadañ nale¿y je wcze-
œniej zaprogramowaæ. Do tego celu potrzebny jest odpowiedni progra-
mator. Programatory dostêpne w handlu s¹ zazwyczaj uniwersalne, ma-
j¹ mo¿liwoœæ zaprogramowania wielu typów procesorów, przez co ich
koszt jest doœæ wysoki. Proponujê wykonanie we w³asnym zakresie pro-
stego i taniego programatora maj¹cego mo¿liwoœæ zaprogramowa-
nia/odczytu kilku podstawowych typów procesorów, które s¹ najczêœciej
wykorzystywane w konstrukcjach amatorskich.

4/99

Programator procesorów

ATMEL

Budowa i zasada dzia³ania
programatora

background image

US1 znajduj¹ siê w stanie wysokiej im-
pedancji, aby zapobiec zwarciom miê-
dzy sygna³ami z procesora, a sygna³ami
z wyjœæ Q1÷Q8 uk³adu US1.

Wpis 8 bitów danych do rejestru

przesuwaj¹cego US4 nastêpuje podczas
podania niskiego stanu logicznego na
wejœcie SH/LD rejestru. Przesuw danych
w rejestrze o 1 bit odbywa siê po poda-
niu narastaj¹cego zbocza sygna³u na
wejœcie CLK US4. Podaj¹c kolejno 8 na-
rastaj¹cych zboczy na wejœcie CLK US4
uzyskujemy pojawianie siê na wyjœciu
QH US4 kolejnych bitów danych w ko-
lejnoœci od najstarszego do najm³odsze-
go. Bity te s¹ odczytywane przez kom-
puter i sk³adane w 1 bajt.

Wejœcia steruj¹ce CLK i SH/LD reje-

stru przesuwaj¹cego s¹ po³¹czone z wyj-

œciami uk³adu US2, który podaje tak¿e
sygna³y steruj¹ce na wejœcia procesora.
Odpowiednia kombinacja stanów lo-
gicznych na liniach S1, S2, S3, S4 okre-
œla tryb pracy procesora – odczyt kodu
programu, odczyt sygnatury procesora,
programowanie danych, programowa-
nie bitów zabezpieczaj¹cych przed od-
czytem. Linia INC ADR s³u¿y do zwiêk-
szania licznika adresów wewnêtrznej
pamiêci Flash.

Procesory w obudowach 20-koñ-

cówkowych, DIP 20 (AT89C1051,
2051, 4051) maj¹ wbudowany licznik
adresów, zaœ procesory w obudowach
40-koñcówkowych, DIP 40 (AT89C51,
52) wymagaj¹ podania adresu przez
wyprowadzenia zewnêtrzne. Z tego te¿
wzglêdu zastosowano uk³ad US5, bê-

d¹cy 12-bitowym licznikiem binar-
nym. Jego wejœcie zliczaj¹ce CLK do³¹-
czone jest do linii INC ADR. Wyjœcia
licznika US5 do³¹czone s¹ do wejœæ ad-
resowych programowanego lub odczy-
tywanego procesora w obudowie 40-
koñcówkowej.

Procesor AT89C51 posiada 4 kB

wewnêtrznej pamiêci programu Flash,
co wymaga u¿ycia 12 linii adresowych
(2

12

= 4096), a wiêc dok³adnie tyle ile

posiada licznik. Pojemnoœæ pamiêci
w procesorze AT89C52 jest jednak dwu-
krotnie wiêksza - wynosi 8 kB. Wymaga
to zastosowania jednej dodatkowej linii
adresowej. Linia ta wyprowadzona jest
z jednego z wyjœæ rejestru US3, z które-
go podawany jest te¿ sygna³ zerowania
licznika US5.

18

4/99

74HC573

+5V

470mF

C3

C5

47mF

C4

100n

GND

C6

47n

47mF

C7

7805

+5V

+12V

LM

+12V

9

12

Q7

D7

US3

Q8

11

1

10

OC

C

D8

US6

+5V

10k

Q3

D3

13

14

15

16

Q6

Q5

Q4

5

6

7

8

D6

D5

D4

R2

2,2k

R4

Q11

+5V

1

8

Q12

20

17

18

19

Q2

2

3

4

Q1

D2

D1

10k

R1

T1

T2

Q7

11

US2

15

14

12

13

Q10

Q8

Q9

74HC573

C

OC

10

1

2×BC547B

3,9k

+12V

R3

Q3

D5

Q5

4

2

3

5

Q5

Q6

Q4

25

13

24

12

D8

9

Q8

12

D6

D7

8

7

Q6

Q7

14

13

US5

CD4040

+5V

Q1

D1

9

2

19

6

7

9

Q2

Q1

RST

11

16

CLK

10

11

23

10

22

D4

6

5

Q4

16

15

D2

D3

4

3

Q2

Q3

18

17

QH

8

1

7

74LS165

US4

SH/LD

21

8

20

74HC573

20

+5V

13

5

13

8

US1

QH

7

9

15

D

2

14

C

CLK

INH

19

6

18

1

10

OC

C

Q7

D7

12

Q8

9

11

D8

5

3

17

4

H

A

11

6

G

B

12

4

17

16

14

Q6

7

D6

Q3

D3

15

16

Q5

Q4

5

6

D5

D4

1

10

SER

3

16

E

F

4

15

2

14

18

Q2

3

Q1

D2

D1

+5V

20

19

2

G1

A12

A11

A10

A9

A8

A7

A6

A5

A4

A3

A2

A1

A0

+5V

D7

D6

D5

D4

D3

D2

D1

D0

V

pp

INC ADR

PROG

S4

S3

S2

S1

DO PODSTAWEK Z PROGRAMOWANYMI UK£ADAMI

Rys. 1 Schemat ideowy programatora

background image

Linia PROG s³u¿y

do podania krótkiego
impulsu programuj¹-
cego, który nastêpuje
po uprzednim usta-
wieniu sygna³ów S1,
S2, S3, S4 na tryb
programowania, usta-
wieniu odpowiednie-
go adresu, bajtu da-
nych oraz napiêcia
programuj¹cego V

pp

.

Linia V

pp

mo¿e przyj-

mowaæ trzy wartoœci
napiêcia: 0,5 lub
12 V, aby zapewniæ
mo¿liwoœæ zerowania,
odczytu i programo-
wania procesora.
Ustawienie odpowie-
dniej wartoœci napiê-
cia nastêpuje za po-
moc¹ dwóch tranzy-
storów T1 i T2 stero-
wanych z rejestru US3
(przez rezystory ogra-
niczaj¹ce pr¹d bazy
R1 i R2).

Podanie zera lo-

gicznego na bazê
tranzystora powoduje
jego zatkanie, zaœ po-
danie jedynki logicz-
nej wprowadza tran-

19

4/99

PROG

INC ADR

S2

S1

S3

S4

V

pp

D0

D1

D2

D3

D4

D5

D6

D7

A8

A9

A10

23

22

18

19

20

21

C2

C1

33p

33p

9

12

11

10

8

13

7

14

AT89C4051

A12

S2

S4

27

26

14

15

16

25

A11

24

17

Q1

3÷24MHz

S1

AT89C2051

AT89C1051

6

5

15

16

17

4

18

3

GND

V

pp

AT89C51
AT89C52

31

30

11

10

12

29

PROG

S3

28

13

2

19

1

20

+5V

D6

A7

D4

A5

35

34

7

6

8

33

A6

D5

D7

+5V

32

9

PD1

D2

A3

37

4

D0

A1

39

38

3

2

A2

D1

D3

A4

36

5

+5V

A0

1

40

PD2

PROGRAMOWANE UK£ADY WK£ADANE W PODSTAWKI

Rys. 2 Schemat pod³¹czenia podstawek pod programowane procesory

460

ARTKELE

Rys. 3a P³ytka drukowana i rozmieszczenie elementów

background image

zystor w stan przewodzenia. Gdy oba
tranzystory s¹ zatkane, na linii V

pp

pa-

nuje napiêcie 12 V. Otwarcie tranzysto-
ra T1 powoduje podanie na liniê V

pp

potencja³u masy (zera logicznego) nie-
zale¿nie od stanu tranzystora T2. Jeœli
tranzystor T1 jest zatkany, a tranzystor
T2 przewodzi, to na linii V

pp

pojawia siê

napiêcie wyjœciowe dzielnika z³o¿onego
z rezystorów R3 i R4 zasilanego napiê-
ciem 12 V. Wartoœci rezystorów R3, R4
s¹ dobrane tak, aby napiêci wyjœciowe
dzielnika odpowiada³o poziomowi je-
dynki logicznej, 4÷5 V.

Procesory w obudowach 40-koñ-

cówkowych wymagaj¹ dodatkowo do³¹-
czenia zewnêtrznego oscylatora kwarco-
wego o czêstotliwoœci z przedzia³u 3 do
24 MHz, który zosta³ umieszczony
w uk³adzie programatora.

Ca³y uk³ad programatora zmontowa-

ny jest na p³ytce drukowanej przedsta-
wionej na rysunku 3. Jest
to p³ytka jednostronna,
dlatego te¿ wymagane
jest za³o¿enie ok. 40 kro-
sów (zworek). Zasilanie
uk³adu mo¿na zrealizowaæ
wykorzystuj¹c zewnêtrz-
ny zasilacz 5 V/100 mA
i 12 V/10 mA. Najprost-
szym jednak rozwi¹za-
niem jest wykorzystanie
napiêæ zasilaj¹cych z kom-
putera. Przewody wycho-
dz¹ce z zasilacza kompute-
rowego maj¹ kolory okre-
œlaj¹ce wartoœci napiêæ:
– przewód czerwony, 5 V
– przewód ¿ó³ty, 12 V
– przewody czarne (œrod-
kowe) – masa zasilania.

Uk³ad mo¿na zasilaæ

równie¿ pojedynczym na-
piêciem stabilizowanym
12 V (ze Ÿród³a o wydaj-
noœci minimum 100 mA).
Wówczas konieczne staje
siê zastosowanie stabiliza-
tora na 5 V typu 7805.
Przy podaniu obu napiêæ
5 i 12 V z zewn¹trz, stabi-
lizator jest zbêdny.

Jako podstawkê

PD1 mo¿na zastoso-
waæ zwyk³¹ podstawkê

DIP 20, podstawkê precyzyjn¹, lub
podstawkê z „dŸwigni¹”. Nie jestem
pewien, czy w handlu dostêpne s¹
„dŸwigniowe” podstawki 20-koñców-
kowe, dlatego te¿ na p³ytce drukowa-
nej przewidziano miejsce na d³u¿sz¹
podstawkê 24-koñcówkow¹. Przy za-
stosowaniu tego typu podstawki nale-
¿y zwracaæ uwagê na w³aœciwe umie-
szczenie ma³ych Atmeli (20-nó¿ko-
wych) w podstawce. Powinny byæ one
tak umieszczone aby koñcówka nr 1
procesora znajdowa³a siê w koñcówce
nr 1 podstawki. Podstawki z dŸwigni¹
s¹ jednak stosunkowo drogie, dlatego
bardziej op³acalne jest zastosowanie
podstawek precyzyjnych.

Kabel ekranowany, ³¹cz¹cy pro-

gramator z komputerem zakoñczony
jest wtykiem DB25 (od strony kompu-
tera. Od strony uk³adu najproœciej jest
przylutowaæ przewody kabla bezpo-
œrednio do p³ytki, bez stosowania ja-
kichkolwiek z³¹cz. Aby przewody
w miejscu po³¹czenia z p³ytk¹ druko-

wan¹ nie ³ama³y siê, nale¿y na odcin-
ku ok. 1 cm przykleiæ je do p³ytki. Od-
powiedni do tego celu jest klej z masy
plastycznej (do pistoletów) topi¹cej
siê po podgrzaniu.

Do obs³ugi programatora przez kom-

puter PC potrzebny jest odpowiedni pro-
gram steruj¹cy. Zosta³y opracowane dwie
wersje programu – pierwsza pod DOS,
druga pod WINDOWS. Program pod DOS
ma t¹ zaletê, ¿e bêdzie dzia³a³ praktycznie
na ka¿dym komputerze, nawet starszego
typu. Przeznaczony jest do wspó³pracy
z programami asemblera ³atwo obs³ugi-
walnymi z poziomu NC. Jeœli jednak pra-
cujemy (programujemy) w œrodowisku
WINDOWS, wygodniejsza w u¿yciu bê-
dzie druga wersja programu. Obie wersje
programów posiadaj¹ identyczne opcje
i dzia³anie. Poni¿ej przedstawiony jest
szczegó³owy opis obs³ugi i dzia³ania na
przyk³adzie programu pod DOS.

20

4/99

460

ARTKELE

US5

PODSTAWKA PD1

PODSTAWKA PD2

CD4040

Q1

C1

C2

AT89C52

AT89C1051

AT89C51

US4

74LS165

US1

US2

US3

R2

R1

R3

R4

T2

T1

C7

LM7805

74HC573

74HC573

74HC573

G1

US6

C3

C5

C4

C6

+12V

+5V

T

Rys. 3b P³ytka drukowana i rozmieszczenie elementów

Monta¿ i uruchomienie

Opis programu steruj¹cego.

background image

Program obs³uguj¹cy uk³ad progra-

matora o nazwie „Progat” napisany jest
w Turbo Pascalu 7.0. Posiada on nastê-
puj¹ce opcje:
– odczyt kodu programu zapisanego

w procesorze i jego zapis do pliku
o podanej nazwie w formacie Intel-hex;

– zaprogramowanie procesora danymi

z pliku o podanej nazwie (dane w pli-
ku musz¹ byæ zapisane w formacie
Intel-hex);

– zaprogramowanie bitów zabezpiecza-

j¹cych w procesorze, czyli uniemo¿li-
wienie póŸniejszego odczytu progra-
mowanych danych (ka¿dy bajt odczy-
tany z zabezpieczonego procesora bê-
dzie mia³ wartoœæ 255, czyli same je-
dynki);

– wybór adresu z³¹cza drukarki, do

którego pod³¹czony jest programator,
mo¿liwe ustawienie adresów: 278H,
378H oraz 3BCH (na karcie graficznej
Hercules);

– ustawienie opóŸnienia powoduj¹cego

spowolnienie dzia³ania programu, co
jest przydatne dla komputerów z ze-
garem o

czêstotliwoœci powy¿ej

300 MHz (przy braku opóŸnienia
uk³ad elektroniczny programatora
mo¿e nie nad¹¿aæ za zmianami sygna-
³ów na porcie drukarki).

Wybór poszczególnych opcji odby-

wa siê przez uruchomienie programu
z odpowiednim parametrem. Urucho-
mienie programu bez podania nazwy
pliku lub opcjonalnie parametru spowo-
duje wyœwietlenie informacji dotycz¹-
cych sposobu w³aœciwego wywo³ania
programu. Sam plik programu „pro-
gat.exe” nie powinien mieæ ustawione-
go atrybutu „tylko do odczytu”, gdy¿
podczas zmiany adresu portu drukarki
lub opóŸnienia, nowe wartoœci zapa-
miêtywane s¹ w tym w³aœnie pliku.

Przy programowaniu lub odczyty-

waniu danych za ka¿dym razem tworzo-
ny jest plik o nazwie „progat.bin”. Za-
wiera on kod binarny danych odpowia-
daj¹cych danym w formacie Intel-hex
w odczytywanym lub utworzonym pli-
ku. Podczas operacji programowania
dokonywana jest weryfikacja po ka¿-
dym zaprogramowanym bajcie. Polega
ona na odczytaniu ostatniego zaprogra-
mowanego bajtu i porównaniu go z baj-
tem, który by³ programowany. Jeœli te
wartoœci s¹ ró¿ne, to nastêpuje przerwa-
nie programowania i wyœwietlenie ko-
munikatu o b³êdzie. W rzeczywistoœci

program po stwierdzeniu b³êdu próbuje
jeszcze dwukrotnie zaprogramowaæ
i zweryfikowaæ dany bajt, zanim defini-
tywnie przerwie operacjê programowa-
nia. Pozwala to wyeliminowaæ przypad-
kowe b³êdy jakie mog¹ wyst¹piæ
w transmisji danych, spowodowane np.
zak³óceniami zewnêtrznymi.

Czas programowania uzale¿niony

jest g³ównie od iloœci programowanych
bajtów kodu programu. Zaprogramowa-
nie ca³ego obszaru pamiêci dostêpnej
w procesorze trwa kilka sekund. Pro-
gram obs³uguj¹cy wyœwietla na bie¿¹co
iloϾ zaprogramowanych danych w baj-
tach oraz w procentach. Bajty o warto-
œci 0FFH nie s¹ programowane, gdy¿
podczas operacji kasowania wszystkie
bajty w pamiêci Flasch przyjmuj¹ war-
toϾ 0FFH. Powoduje to znaczne przy-
spieszenie programowania, szczególnie
dla danych zawieraj¹cych wiele „pu-
stych” bajtów.

Program „Progat” ma mo¿liwoœæ

automatycznego rozpoznawania typu
programowanego lub odczytywanego
procesora. Je¿eli po umieszczeniu wy-
branego procesora w odpowiedniej
podstawce (20 lub 40 nó¿ek) i urucho-
mieniu programu nie nast¹pi automa-
tyczne rozpoznanie procesora, to wy-
œwietlone zostan¹ wszystkie mo¿liwe ty-
py procesorów obs³ugiwanych przez
programator wraz z poleceniem wyboru
tego w³aœciwego, przez wciœniêcie od-
powiedniego klawisza (1 do 7) na kla-
wiaturze komputera. Przyczyn¹ nie roz-
poznania typu procesora mo¿e byæ:
– brak zasilania uk³adu;
– brak procesora w podstawce;
– b³êdne lub niedok³adne umieszczenie

procesora w podstawce;

– brak sygnatury w procesorze;
– uszkodzony procesor.

Z doœwiadczenia wiem, ¿e w nie-

których procesorach czasami „znika” sy-
gnatura, co uniemo¿liwia ich automa-
tyczne wykrywanie. Dzieje siê tak czêsto
po zbyt „brutalnym” potraktowaniu
procesora, np. chwilowym odwrotnym
umieszczeniu go w podstawce (odwrot-
ne zasilanie). Jednak utrata sygnatury
nie powoduje uszkodzenia procesora
i mo¿na go nadal z powodzeniem wyko-
rzystywaæ.

Nale¿y pamiêtaæ, ¿e na raz mo¿e

byæ programowany tylko jeden proce-
sor w obudowie 20- albo 40-koñców-
kowej.

UWAGA:

Po pod³¹czeniu uk³adu programatora

do zasilania i z³¹cza drukarki komputera
na wyjœciach uk³adów scalonych, a wiêc
i na nó¿kach podstawek pod procesory
mog¹ wystêpowaæ nieokreœlone stany lo-
giczne, a na linii V

pp

napiêcie 12 V. Mo¿e

to spowodowaæ pewne komplikacje po
umieszczeniu procesora w podstawce za-
raz po w³¹czeniu zasilania. Aby unikn¹æ
przykrych niespodzianek powinno siê
przed pierwszym umieszczeniem proce-
sora w podstawce uruchomiæ program
„Progat” w celu ustalenia odpowiednich
poziomów logicznych na pinach podsta-
wek. Wystarczy uruchomiæ sam program
„Progat.exe” bez podawania jakichkol-
wiek parametrów.

Program „Progat” mo¿na zakupiæ

w redakcji PE razem z p³ytk¹ drukowan¹
programatora. W Internecie pod adresem
www.pe.com.pl. bêdzie dostêpna demon-
stracyjna wersja programu „Progat”.
P³ytki drukowane wysy³ane s¹ za zalicze-
niem pocztowym. P³ytki i dyskietkê z pro-
gramem „Progat” z dopiskiem PROGAT
mo¿na zamawiaæ w redakcji PE.
Cena: p³ytka numer 460 – 11,60 z³

dyskietka PROGAT – 30,00 z³
+ koszty wysy³ki.

21

4/99

US1, US2,

US3

– 74HC573 lub 74HCT573

US4

– 74LS165

US5

– CD 4040

US6

– LM 7805, patrz opis w tekœcie

T1, T2

– BC 547 lub podobne npn

R4

– 2,2 kW

W/0,125 W

R3

– 3,9 kW

W/0,125 W

R1, R2

– 10 kW

W/0,125 W

C1, C2

– 33 pF/50 V ceramiczny

C6

– 47 nF/50 V ceramiczny

C4

– 100 nF/50 V ceramiczny

C5, C7

– 47 m

mF/16 V

C3

– 470 m

mF/16 V

Q1

– rezonator kwarcowy

od 3 do 24 MHz

PD1

– podstawka 20-,

lub T24-nó¿kowa

PD2

– podstawka 40-nó¿kowa

p³ytka drukowana

numer 460

Wykaz elementów

Pó³przewodniki

Rezystory

Kondensatory

Inne

à

à Jaros³aw Konieczny

background image

Kondensatorowe przetwornice do-

stêpne s¹ w postaci gotowych uk³adów
scalonych, do których do³¹cza siê trzy
kondensatory. Przyk³adem mo¿e byæ tu
uk³ad ICL 7660. Wad¹ tych uk³adów
jest ma³a ich popularnoœæ i doœæ wyso-
ka cena. Dlatego te¿ opisujemy zasady
dzia³ania takich przetwornic, które
mo¿na zbudowaæ samemu niewielkim
kosztem.

Pierwszy typ który omówimy to

przetwornica z „p³ywaj¹cym” kondensa-
torem, odwracaj¹ca polaryzacjê napiêcia.
Schemat ilustruj¹cy zasadê dzia³ania
przedstawiono na rysunku 1. Kondensa-
tor „p³ywaj¹cy” C

p

w³¹czony jest w prze-

k¹tn¹ mostka zbudowanego z czterech
kluczy (rys. 1a). W pierwszej fazie pracy
zostaj¹ równoczeœnie zwarte klucze w le-
wej ga³êzi mostka (rys. 1b). Kondensator
C

p

zostaje wtedy w³¹czony pomiêdzy ma-

sê, a napiêcie zasilania +U

we

i ³aduje siê

do wartoœci tego napiêcia.

W drugiej fazie klucze z lewej ga³ê-

zi mostka otwieraj¹ siê, a zwieraj¹ klu-
cze z prawej ga³êzi (rys. 1c). Dziêki te-
mu dodatnia ok³adka kondensatora C

p

zostaje po³¹czona z mas¹ uk³adu,
a ujemna z wyjœciem. W efekcie tego
napiêcie wyjœciowe uzyskuje wartoœæ
ujemn¹ równ¹ co do wielkoœci napiêciu
wejœciowemu. W pierwszych taktach
pracy napiêcie wyjœciowe dzieli siê po-
miêdzy kondensator C

p

, a kondensator

filtru wyjœciowego, ale ten drugi szybko
zostanie na³adowany do wartoœci –U

we

.

Czêstotliwoœæ pracy takiej prze-

twornicy z regu³y wynosi 20÷50 kHz.
Jako generator mo¿na wykorzystaæ do-
wolny uk³ad zewnêtrzny, np. tajmer
555, lub te¿ dowolny sygna³ (o czêsto-
tliwoœci podanej powy¿ej) z uk³adu cy-
frowego.

Podobnie zbudowana jest prze-

twornica podwajaj¹ca napiêcie. Tak¿e
w tym przypadku zastosowano mostek

sk³adaj¹cy siê z czterech kluczy i „p³y-
waj¹cy” kondensator C

p

(rys. 2a). Ró¿-

ni siê ona od poprzedniego rozwi¹zania
miejscem do³¹czenia wyjœcia i sposo-
bem pod³¹czenia napiêæ. W pierwszej
fazie pracy (rys. 2b) zwarte s¹ klucze le-
wej ga³êzi mostka i kondensator C

p

³a-

dowany jest do wartoœci napiêcia zasi-
lania +U

we

. W drugiej fazie klucze

lewej ga³êzi zostaj¹ otwarte, nato-
miast zwieraj¹ siê klucze prawej ga³êzi
(rys. 2c). Powoduje to po³¹czenie dol-
nej ok³adki kondensator C

p

z dodatnim

biegunem napiêcia zasilania, a ok³adki
dodatniej z wyjœciem przetwornicy. Po-
niewa¿ kondensator C

p

jest na³adowany

do napiêcia +U

we

na wyjœciu pojawia

siê napiêcie dwukrotnie wy¿sze ni¿ na-
piêcie zasilania. Stanowi ono sumê na-
piêcia na kondensatorze C

p

i napiêcia

zasilania. Podobnie jak poprzednio czê-
stotliwoœæ pracy zawiera siê w grani-
cach 20÷50 kHz.

Przetwornice tego typu, zarówno

odwracaj¹ce polaryzacjê napiêcia, jak
i podwajaj¹ce napiêcie mog¹ dostar-
czaæ pr¹du nawet na poziomie poje-
dynczych amperów. Przetwornice o du-
¿ej wydajnoœci pr¹dowej pracuj¹ z re-
gu³y na ni¿szych czêstotliwoœciach
3÷30 kHz. Najczêœciej jest to jednak
przedzia³ czêstotliwoœci ponad aku-
stycznych (>20 kHz).

W uproszczeniu mo¿na powiedzieæ,

¿e pojemnoœæ kondensatora C

p

powin-

na wynosiæ 2 mF na ka¿dy miliamper
pobieranego z wyjœcia pr¹du. Dla przy-
k³adu w przetwornicy, która ma dostar-
czaæ do obci¹¿enia 100 mA pr¹du nale-
¿y zastosowaæ kondensator 220 mF.

SprawnoϾ tego typu przetwornic

jest doœæ wysoka i zawiera siê w grani-
cach 80÷90%. Na sprawnoœæ ma

W ró¿nych uk³adach elektronicznych bardzo czêsto zachodzi po-
trzeba zasilania napiêciem symetrycznym, lub dwoma napiêciami
dodatnimi. Je¿eli pobór pr¹du przez uk³ad jest doœæ du¿y ko-
nieczne jest zastosowanie odpowiedniego transformatora i zasi-
lacza. W wielu rozwi¹zaniach dodatkowe napiêcia pe³ni¹ funkcjê
pomocnicz¹ i nie musz¹ dostarczaæ du¿ego pr¹du. Przyk³adem
mog¹ byæ uk³ady cyfrowe w których zastosowano jeden lub dwa
wzmacniacze operacyjne, wymagaj¹ce zasilania symetrycznego,
lub wy¿szego ni¿ z regu³y stosowane +5 V. W takich przypadkach
bardzo wygodne i tanie jest zastosowanie przetwornic kondensa-
torowych podwy¿szaj¹cych napiêcie zasilania, lub zmieniaj¹cych
polaryzacjê napiêcia.

Pomys³y uk³adowe –

przetwornice kondensatorowe

20÷50 kHz

20÷50 kHz

20÷50 kHz

Cp

Cp

Uwy=–Uwe

Uwy=–Uwe

Uwy=–Uwe

+Uwe

T

=-Uwe

T

c)

b)

a)

+Uwe

+Uwe

+Uwe

+Uwe=

T

Cp

Rys. 1 Schemat ilustruj¹cy zasadê pracy przetwornicy zmieniaj¹cej polaryzacjê napiêcia z „p³ywaj¹cym” kondensatorem

22

4/99

background image

wp³yw rezystancja szeregowa kluczy,
ich czasy w³¹czania i wy³¹czania, oraz
stratnoϾ kondensatora C

p

. Zalet¹ prze-

twornic z p³ywaj¹cym kondensatorem
jest brak diod prostowniczych pogar-
szaj¹cych sprawnoœæ.

Istotn¹ rolê odgrywa rodzaj zasto-

sowanego kondensatora C

p

. Powinien

on posiadaæ nisk¹ wartoœæ ESR. Przez
skrót ESR (zastêpcza rezystancja szere-
gowa) nale¿y rozumieæ ca³kowite straty
energii w kondensatorze, wynikaj¹ce
z rezystancji doprowadzeñ, rezysatncji
elektrolitu, straty w dielektryku. Dru-
gim wa¿nym czynnikiem jest odpornoœæ
kondensatora na pracê z du¿¹ sk³adow¹
zmienn¹ napiêcia. Natomiast trzecim
czynnikiem jest du¿a czêstotliwoœæ gra-
niczna pracy. Najlepiej jest stosowaæ
kondensatory elektrolityczne przezna-
czone do pracy w dowolnych przetwor-
nicach. Wszystkie powy¿sze uwagi do-
tycz¹ przetwornic du¿ej mocy. W prze-
twornicach ma³ej mocy mo¿na stoso-
waæ zwyk³e kondensatory elektrolityczne.

Wad¹ tego typu przetwornic jest

ich stosunkowo du¿a, w porównaniu
z przetwornicami indukcyjnymi, rezy-
stancja wyjœciowa i brak stabilizacji na-
piêcia. Jednak w wielu zastosowaniach
nie ma to wiêkszego znaczenia.

Na rysunku 3 przedstawiono prak-

tyczne rozwi¹zanie miniaturowej prze-
twornicy odwracaj¹cej polaryzacjê na-
piêcia zasilania. Uk³ad mo¿e pracowaæ
w szerokim zakresie napiêæ od 3 do
7 V i dostarcza pr¹du do 10 mA. Wy-
korzystano w nim popularny i tani
uk³ad kluczy analogowych CD 4053.
Z uwagi na to ¿e klucz wyjœciowy pra-
cuje przy napiêciu ujemnym wzglêdem
masy, konieczne jest po³¹czenie zasila-
nia U

EE

uk³adu (nó¿ka7) z wyjœciem

przetwornicy. Wydajnoœæ pr¹dowa
uk³adu ograniczona jest pr¹dem ma-
ksymalnym kluczy analogowych. Rezy-
stancja wyjœciowa jest doœæ du¿a, rów-
na w przybli¿eniu sumie rezystancji
dwóch kluczy. W miejsce uk³adu
CD 4053 mo¿na zastosowaæ uk³ad serii

HC 4053 charakteryzuj¹cy siê mniej-
sz¹ rezystancj¹ kluczy.

Praktyczny schemat przetwornicy

podwajaj¹cej napiêcie zamieszczono na
rysunku 4. Tak¿e w tym wypadku zasto-
sowano uk³ad CD 4053, który mo¿na
zast¹piæ uk³adem HC 4053. Uk³ad ten
wymaga z kolei zasilania podwojonym
napiêciem, aby zapewniæ prawid³o-
w¹ polaryzacjê wewnêtrznych kluczy.
Poniewa¿ napiêcie zasilania uk³adu
CD 4053 jest wy¿sze ni¿ napiêcie z ge-
neratora konieczne by³o dodanie klucza
tranzystorowego. Parametry tej prze-
twornicy s¹ analogiczne jak uk³adu
zmieniaj¹cego polaryzacjê napiêcia.

Jeszcze innym sposobem zmiany

polaryzacji napiêcia jest uk³ad prze-
twornicy przedstawionej na rysunku 5.
W pierwszej wersji uk³ad zmienia pola-
ryzacjê napiêcia zasilania.

Generator zbudowany na tajmerze

555 pracuje na czêstotliwoœci ok.
20 kHz i stanowi jednoczeœnie kom-
plementarny stopieñ mocy. W chwili

20÷50 kHz

20-50 kHz

20÷50 kHz

=+Uwe

+Uwe

+Uwe

+Uwe

T

T

Cp

Cp

Cp

+Uwe

Uwy=2Uwe

Uwy=2Uwe

+Uwe

+Uwe

Uwy=2Uwe

+Uwe

+Uwe=2Uwe

a)

b)

c)

Rys. 2 Schemat ilustruj¹cy zasadê pracy przetwornicy podwajaj¹cej napiêcie z „p³ywaj¹cym” kondensatorem

3÷10 Vpp

16

CD4053

6,7,8,11

7

3

5

9

4

20÷50 kHz

10mF

Iwy<10mA

Uwy=–Uwe

1

2

22mF 47n

3÷7V

22mF

15

10

+Uwe

CD 4053

Rys. 3 Schemat ideowy przetwornicy odwracaj¹cej polaryzacjê napiêcia

z „p³ywaj¹cym” kondensatorem

20÷50 kHz

3÷10 Vpp

10k

BC548B

6,7,8,11

33p

CD4053

16

22k

4

9

3

5

+Uwe

Uwy=2Uwe

Iwy<10mA

10

15

22mF

3÷7V

47n

22mF

2

1

10mF

47n

CD 4053

Rys. 4 Schemat ideowy przetwornicy podwajaj¹cej napiêcie

z „p³ywaj¹cym” kondensatorem

23

4/99

background image

gdy napiêcie na wyjœciu uk³adu 555
US1 jest wysokie kondensator C1 ³adu-
je siê przez górny tranzystor uk³adu
i diodê D1; w tym czasie dioda D2 jest
zablokowana. W chwili zmiany stanu
wyjœcia US1 na niski, kondensator C1
roz³adowuje siê. Pr¹d roz³adowania
p³ynie przez: kondensator C2, diodê
D2 i dolny tranzystor US1. Poci¹ga to

za sob¹ pojawienie siê ujemnego na-
piêcia na wyjœciu przetwornicy. War-
toœæ napiêcia jest w przybli¿eniu rów-
na napiêciu wejœciowemu, z przeciw-
nym znakiem.

Ten sam uk³ad z innym stopniem

wyjœciowym mo¿e pos³u¿yæ do podwa-
jania napiêcia zasilania (rys. 5 czêœæ
prawa rysunku). W tym przypadku kon-

densator C1 ³aduje siê przez diodê D1
do wartoœci napiêcia zasilania w czasie
gdy napiêcie na wyjœciu uk³adu US1
jest niskie (przewodzi dolny tranzystor
US1). Po zmianie stanu wyjœcia US1 na
wysoki, ujemna ok³adka kondensatora
zostaje do³¹czona do napiêcia zasilania
przez przewodz¹cy, górny tranzystor
US1. Pr¹d roz³adowania kondensatora
C1 przep³ywa w obwodzie: górny tran-
zystor US1, dioda D2 (dioda D1 jest
w tym czasie zablokowana), kondensa-
tor C2. Zatem napiêcie wyjœciowe jest
sum¹ napiêcia zasilania i napiêcia na
kondensatorze C1, na³adowanym te¿
do wartoœci napiêcia zasilaj¹cego
uk³ad.

Napiêcie zasilania uk³adu w obu

przypadkach mo¿e wynosiæ od 5 do
15 V, a pr¹d pobierany z wyjœcia
10 mA. Przy zaakceptowaniu wiêkszego
spadku napiêcia wyjœciowego pr¹d wyj-
œciowy mo¿na zwiêkszyæ do 25 mA.

à

à Andrzej Tarnowski

22mF

22mF

D1

1

2

5

1N4148

1n

47n

C2

D2

D1

C1

C2

D2

C1

7

47mF

1N4148

555

3

33k

–Uwy

6

2Uwe

1N4148

47mF

Iwy<10mA

Iwy<10mA

do 3

US1

+Uz

4

8

2,2k

22mF

do +Uz

Rys. 5 Schemat ideowy kondensatorowej przetwornicy zmieniaj¹cej polaryzacjê napiêcia

i podwajaj¹cej napiêcie

Uwagi do karty zamówieñ

– przy zamówieniu pisaæ swój adres
– zamawiaæ na kartach pocztowych lub kar-

tach zamówieñ, nie przyjmujemy zamówieñ
telefonicznych, faxem ani e-mailem;

– nie uzupe³niamy zamówieñ drog¹

telefoniczn¹;

– zamawiaj¹c p³ytki

podawaæ tylko nu-
mer i iloϾ sztuk;

– zamawiaj¹c uk³ad

z programem po-
dawaæ tylko nazwê
programu (nie po-
dawaæ oznaczeñ
producenta np.:
AT1051 itd.);

– nie wprowadzamy

i n d y w i d u a l n y c h
zmian do progra-
mów;

– przesy³aj¹c ankietê

lub treœæ og³oszenia
do gie³dy PE nie
wk³adaæ do koperty
zamówienia na
p³ytki;

– nie wysy³amy da-

nych katalogo-
wych.

à

à Redakcja

Przyk³ad sk³adanego zamówienia

Krzysztof Tranzystor
ul. Elektryczna 2/10
99-997 DIODOWO

Zamawiam

P³ytki:

095

2 szt.

105

2 szt + ksero

441 1 szt. + uk³ad "OBRAZ"

uk³ad "ZEGAR"

Archiwalne numery:

1/98, 5/98, 4/99

podpis

24

4/99

background image

Po d³ugim i zapewne nie³atwym

wprowadzeniu teoretycznym i prak-
tycznym, uruchomiliœmy w poprze-
dnim numerze pierwszy prosty pro-
gram. Bazuj¹c na nim mo¿emy ju¿
przyst¹piæ do bardziej ambitnych za-
dañ. Zaczniemy od ujarzmienia dwóch
najbardziej u¿ytecznych na naszej p³yt-
ce urz¹dzeñ wejœcia/wyjœcia – wyœwie-
tlacza LED i „klawiatury” z³o¿onej
z oœmiu przycisków.

W zwi¹zku z licznymi zapytaniami

dotycz¹cymi przydatnoœci, b¹dŸ prze-
znaczenia naszej p³ytki edukacyjnej,
pragniemy przypomnieæ, ¿e uk³ad ten
ma charakter uniwersalny. Dziêki wy-
posa¿eniu go w z³¹cze RS232, mo¿liwe
jest do³¹czenie wielu dodatkowych
urz¹dzeñ oraz zademonstrowanie dzia-
³ania ró¿nych protoko³ów transmisji.
Zasadniczym kierunkiem wykorzystania
uk³adu jest jednak przetwarzanie sy-
gna³ów (o czym œwiadczy obecnoœæ
uk³adów wspomagaj¹cych przetwarza-
nie A/C i C/A). Tej tematyce bêdzie po-
œwiêcona wiêc wiêksza czêœæ dalszych
artyku³ów z tej serii. Ju¿ w tym nume-
rze opisujemy prosty program tworze-
nia naturalnego pog³osu. W dalszych
czêœciach planujemy omówienie i za-
prezentowanie praktycznej realizacji
kilku rodzajów filtrów cyfrowych, me-
tody kompresji i dekompresji dŸwiêku,
syntezy i analizy mowy, tworzenie in-
nych efektów specjalnych. Jak wiêc wi-
daæ wykorzystanie p³ytki edukacyjnej
mo¿e byæ rozmaite. Mo¿na w oparciu
o ni¹ i dostêpne oprogramowanie pi-
saæ i uruchamiaæ programy, których
przeznaczenie mo¿na okreœliæ indywi-
dualnie (mo¿na np. napisaæ program

cyfrowego zegara lub czêstoœciomie-
rza). Mo¿na równie¿ zapisaæ do pamiê-
ci mikrokontrolera jeden z udostêpnia-
nych programów (np. efektu pog³osu)
i potraktowaæ p³ytkê edukacyjn¹ jako
gotowe urz¹dzenie.

Do³¹czony wyœwietlacz sk³ada siê

z czterech modu³ów siedmiosegmento-
wych, umo¿liwiaj¹cych poprawne wy-
œwietlenie wszystkich cyfr i niektórych
liter (w szczególnoœci liter kodu heksa-
decymalnego od 'A' do 'F'). Pojedyn-
czy modu³ sterowany jest za pomoc¹
oœmiu wejœæ (ka¿de wejœcie odpowiada
jednemu segmentowi; mamy wiêc sie-
dem segmentów plus kropkê). W takim
razie bezpoœrednie wysterowanie wszy-
stkich czterech modu³ów wymaga³oby
doprowadzenia do mikrokontrolera 32
sygna³ów, co w naszym przypadku jest
rzecz¹ niewykonaln¹. Z tego powodu
w naszym uk³adzie zastosowano jedno
z typowych rozwi¹zañ: sterowanie mul-
tipleksowane modu³ów. Dodatkowo
dane przekazywane s¹ do modu³ów
w sposób szeregowy. Aby jeszcze bar-
dziej utrudniæ pracê programistom (za
to u³atwiæ sobie), projektanci œcie¿ek
poprowadzili do³¹czenia poszczegól-
nych bitów do segmentów w sposób
wysoce niedeterministyczny, zale¿ny
dodatkowo od po³o¿enia modu³u na
p³ytce. Przyporz¹dkowanie to obrazuje
rysunek 1. Widaæ wyraŸnie, ¿e musimy
stworzyæ dwa wzorce cyfr i liter, ka¿dy
przeznaczony dla okreœlonej pary mo-
du³ów.

Ideê wyœwietlania multipleksowa-

nego pokazuje rysunek 2. Poniewa¿
mamy cztery modu³y nasz cykl wyœwie-
tlania bêdzie siê sk³ada³ z czterech faz.
W ka¿dej fazie wybieramy jedn¹ z czte-
rech linii steruj¹cych okreœlony modu³,
a na linie danych podajemy po¿¹dany
znak do wyœwietlenia. W ten sposób
obs³ugujemy ka¿dy z czterech modu-
³ów, a ca³y cykl powtarzamy z odpo-
wiedni¹ szybkoœci¹. Ze wzglêdu na

bezw³adnoœæ ludzkiego wzroku,
w efekcie zobaczymy cztery œwiec¹ce
znaki. Aby unikn¹æ efektu migotania
czêstotliwoœæ ca³ego procesu powinna
byæ zbli¿ona przynajmniej do czêstotli-
woœci telewizyjnej odchylania piono-
wego, czyli ok. 50 Hz.

Jak widaæ, dziêki przedstawionym

„trikom” sprzêtowym uda³o siê zredu-
kowaæ liczbê potrzebnych wyprowa-
dzeñ do szeœciu (4 steruj¹ce modu³y +
dane szeregowe wyœwietlania + zegar
³adowania danych). Takie rozwi¹zanie
komplikuje nieco obs³ugê programow¹
wyœwietlacza, lecz z przes³anek sprzê-
towych, nale¿y je uznaæ za optymalne.

Podobnie jak w przypadku wy-

œwietlacza, niemo¿liwe by³o proste
pod³¹czenie ka¿dego z przycisków do
osobnego wejœcia mikrokontrolera.
Równie¿ w tym przypadku zastosowa-
no odczyt szeregowy, który wymaga³
wykorzystania dodatkowo jedynie
dwóch dodatkowych linii mikrokontro-
lera (linia zegara wspólna z lini¹ da-
nych wyœwietlacza).

Przyporz¹dkowanie bitów do po-

szczególnych przycisków przedstawia
rysunek 3. Jak wynika ze schematu,

25

4/99

Programowanie obs³ugi

wyœwietlacza i klawiatury –

prosty program pog³osu

Obs³uga wyœwietlacza LED

3

7

3

7

6

7

4

6

4

1

6

7

4

1

4

6

0

3

2

2

0

1

2

3

0

2

0

1

5

5

5

5

Rys. 1 Przyporz¹dkowanie bitów do seg-

mentów poszczególnych wyœwietlaczy

obraz wynikowy

D = 11010011

B = 0001

D = 01100111

B = 0100

B = 0010

D = 011100111

B3

B2

B1

B0

D = 11100111

B = 1000

D0...D7

Rys. 2 Zasada wyœwietlania multipleksowego

Odczyt stanu przycisków

7

6

5

4

3

2

1

0

Rys. 3 Przyporz¹dkowanie bitów do przyci-

sków

background image

wyzerowanie okreœlonego bitu oznacza
naciœniêcie danego przycisku.

Skoro wiemy ju¿, jak obs³ugiwaæ

wyœwietlacz i odczytywaæ stan przyci-
sków, mo¿emy przyst¹piæ do pisania
programu. Z powodu du¿ej czêstotliwo-
œci aktualizacji danych wyœwietlacza,
najwygodniejszym miejscem umieszcze-
nia g³ównej procedury bêdzie przerwa-
nie licznika. Wykorzystamy do tego pro-
cedurê przerwania licznika 0, która ob-
s³uguje ju¿ przetwarzanie A/C. Czêstotli-
woϾ pracy tego licznika jest nieco za du-
¿a, jak na nasze potrzeby, dlatego bê-
dziemy wywo³ywaæ obs³ugê wyœwietla-
cza tylko co 64 przerwanie, co zapewni
nam czêstotliwoœæ odœwie¿ania 512 Hz,
a wiêc w zupe³noœci wystarczaj¹c¹.

Ca³¹ procedurê odœwie¿ania wy-

œwietlacza LED przedstawia listing 1.
Nale¿y j¹ umieœciæ zaraz po czêœci ob-
s³uguj¹cej przetwarzanie A/C. Procedu-
ra wykorzystuje now¹, nie opisan¹ do-
tychczas sk³adniê asemblera, tzw. ma-
kroinstrukcjê. Makroinstrukcja to wyo-
drêbniony przez nas fragment progra-
mu, który zamierzamy wykorzystaæ
wiele razy, a nie mamy zamiaru za ka¿-
dym razem go przepisywaæ. Makroin-
strukcje mog¹ posiadaæ parametry.
Nasz asembler umo¿liwia przekazanie
makroinstrukcji maksymalnie 10 para-
metrów oznaczanych @0÷@9. Makro-
instrukcja zdefiniowana w tej procedu-
rze wysy³a jeden bit danych do wy-
œwietlacza LED, zgodnie z wymaganymi
przebiegami sygna³ów (rysunek 4 –
pionowe kreski oznaczaj¹ przybli¿ony
moment zapisu). Numer bitu podaje

parametr @0.

P o n i e w a ¿

przerwanie licz-
nika 0 jest wywo-
³ywane 32768
razy na sekundê,
w p r o w a d z a m y
do procedury
licznik przerwañ
(rejestr r22),
który zapewni

nam, ¿e ca³a procedura wykona siê raz
na 64 przerwania, co da nam w³aœciw¹
czêstotliwoœæ odœwie¿ania 512 Hz. Na-
stêpnie procedura sprawdza numer
ostatnio wyœwietlonego modu³u, pa-
miêtany w rejestrze r21. Po wykryciu
numeru modu³u, odpowiedni wariant
procedury prze³¹cza aktywny modu³ na
nastêpny oraz z odpowiedniego reje-
stru (r12÷r15) ³aduje dan¹ do wys³a-
nia (r22). Pod koniec procedura wywo-
³uje osiem razy zdefiniowan¹ na po-
cz¹tku makrodefinicjê, wysy³aj¹c do re-
jestru wyœwietlacza dan¹ do wyœwietle-
nia. Na koniec ³adowany jest licznik
przerwañ, aby dalszych 63 zosta³o po-
miniête.

Aby zapewniæ ci¹g³y odczyt stanu

oœmiu przycisków procedurê ich odczy-
tu umieœcimy tu¿ za czêœci¹ obs³uguj¹-
c¹ wyœwietlacz LED. Nasz¹ procedurê

26

4/99

Piszemy program

.MACRO ledbit

; makroinstrukcja wysylajaca jeden bit

sbrc

r22,@0

; jesli bit @0 w r22 = 0, omin nastepna instrukcje

cbi

PORTD,2

; ustaw 0 na wyjscie danych

sbrs

r22,@0

; jesli bit @0 w r22 = 1, omin nastepna instrukcje

sbi

PORTD,2

; ustaw 1 na wyjscie danych

sbi

PORTB,7

; generowanie sygnalu zegara

cbi

PORTB,7

.ENDMACRO

dec

r22

; zmniejsz licznik przerwan

brne

_tim0_exit1

; nie jest zerem => omin procedure

tst

r21

; ostatnio wyswietlany modul = 0

brne

_tim0_led1

; nie => sprawdz nastepny

inc

r21

; aktualnie wyswietlany modul = 1

sbi

PORTB,6

; wylacz bit poprzedniego modulu

cbi

PORTB,1

; wlacz bit aktualnego modulu

mov

r22,r12

; pobierz dana do wyswietlenia

rjmp

_tim0_led

; skocz => wyswietl

_tim0_led1:

cpi

r21,1

; ostatnio wyswietlany modul = 1

brne

_tim0_led2

; nie => sprawdz nastepny

inc

r21

; aktualnie wyswietlany modul = 2

sbi

PORTB,1

; wylacz bit poprzedniego modulu

cbi

PORTB,4

; wlacz bit aktualnego modulu

mov

r22,r13

; pobierz dana do wyswietlenia

rjmp

_tim0_led

; skocz => wyswietl

_tim0_exit1:

rjmp

_tim0_exit

_tim0_led2:

cpi

r21,2

; ostatnio wyswietlany modul = 2

brne

_tim0_led3

; nie => sprawdz nastepny

inc

r21

; aktualnie wyswietlany modul = 3

sbi

PORTB,4

; wylacz bit poprzedniego modulu

cbi

PORTB,5

; wlacz bit aktualnego modulu

mov

r22,r14

; pobierz dana do wyswietlenia

rjmp

_tim0_led

; skocz => wyswietl

_tim0_led3:

clr

r21

; aktualnie wyswietlany modul = 0

sbi

PORTB,5

; wylacz bit poprzedniego modulu

cbi

PORTB,6

; wlacz bit aktualnego modulu

mov

r22,r15

; pobierz dana do wyswietlenia

_tim0_led:

ledbit 0

; wyslij bit 0

ledbit 1

; wyslij bit 1

ledbit 2

; wyslij bit 2

ledbit 3

; wyslij bit 3

ledbit 4

; wyslij bit 4

ledbit 5

; wyslij bit 5

ledbit 6

; wyslij bit 6

ledbit 7

; wyslij bit 7

ldi

r22,64

; zaladuj licznik przerwan

_tim0_exit:

Listing 1 Procedura obs³ugi wyœwietlacza LED

CLK

A

Rys. 4 Przebiegi sygna³ów w czasie

zapisywania stanu wyœwietlacza

.MACRO keybbit

; makroinstrukcja wczytujaca jeden bit

add

r22,r22

; przesun zawartosc r22 w lewo

sbis

PIND,4

; jesli bit 4 w porcie D = 1, omin nastepna

instrukcje

inc

r22

; zwieksz r22 o 1

sbi

PORTD,2

; ustaw wyjscie zegara na 1

cbi

PORTD,2

; ustaw wyjscie zegara na 0

.ENDMACRO

cpi

r22,32

; czy licznik przerwan = 32 ?

brne

_tim0_reti

; nie => omin procedure

cbi

PORTB,0

; ustaw wyjscie SH/LD na 0 = zatrzasniecie danych

cbi

PORTD,2

; wyzeruj wyjscie zegara

sbi

PORTB,0

; ustaw wyjscie SH/LD na 1 = wysuwanie danych

keybbit

; pobierz bit 7

keybbit

; pobierz bit 6

keybbit

; pobierz bit 5

keybbit

; pobierz bit 4

keybbit

; pobierz bit 3

keybbit

; pobierz bit 2

keybbit

; pobierz bit 1

keybbit

; pobierz bit 0

cp

r11,r22

; porownaj stary i aktualny stan przyciskow

breq

_tim0_endk

; ten sam => koniec procedury

mov

r11,r22

; przepisz aktualny stan jako stary

tst

r22

; czy obecny stan = wszystkie przyciski wycisniete

?

breq

_tim0_endk

; tak => koniec procedury

mov

r20,r22

; przepisz obecny stan do rejestru uzytkownika

_tim0_endk:

ldi

r22,32

; odtworzenie licznika przerwan

_tim0_reti:

out

SREG,r23

; odtworz stan rejestru SREG

reti

Listing 2 Procedura odczytu stanu przycisków

background image

przedstawia listing 2. Podobnie jak po-
przednio zdefiniowaliœmy makroin-
strukcjê (tym razem bezparametrow¹),
której ka¿de wywo³anie odczyta do re-
jestru r22 kolejny bit z rejestru stanu
przycisków (przebiegi sygna³ów przed-
stawiono na rysunku 5). Równie¿ ta
procedura wystarczy jeœli bêdzie wy-
wo³ywana raz na 64 przerwania, dlate-
go i tutaj wykorzystujemy licznik r22.
Po odczytaniu (przez oœmiokrotne wy-
wo³anie makroinstrukcji) stanu przyci-
sków sprawdzamy, czy przypadkiem
nie zmieni³ siê. Jeœli to nast¹pi³o, zapa-
miêtujemy w r11 aktualny stan oraz
w przypadku gdy przycisk zosta³ naci-
œniêty („tst r22”), kopiujemy jego nu-
mer do r20, sk¹d g³ówny program mo-
¿e dowiedzieæ siê, który przycisk zosta³
ostatnio naciœniêty.

Maj¹c gotowe procedury obs³ugi

wyœwietlacza i przycisków szybko napi-
szemy program pozwalaj¹cy nam usta-
wiæ optymalne parametry pracy prze-
twornika A/C. G³ówn¹ pêtlê naszego
programu przedstawia listing 3. Aby po-
prawnie ustawiæ punkt pracy przetwor-
nika, potrzebne s¹ nam dwie informa-
cje: wartoϾ liczbowa po przetworzeniu
A/C dla braku napiêcia wejœciowego oraz

maksymalna i minimalna wartoϾ zmien-
nego napiêcia podawanego na wejœcie.
Pe³ne przedstawienie tych informacji za-
pewni nam wprowadzenie dwóch try-
bów pracy, prze³¹czanych naciœniêciem
dowolnego przycisku. W pierwszym try-
bie wyœwietlamy od lewej litery 'da',
a z prawej œredni¹ wartoœæ sygna³u
z pewnego przedzia³u czasu. W drugim
trybie z lewej strony mamy wartoϾ mi-
nimaln¹, z prawej wartoœæ maksymaln¹
sygna³u w

tym

przedziale. Okres
czasowy, dla ja-
kiego wykonywa-
ne s¹ obliczenia
ustalono na 0,5 s.

G ³ ó w n ¹

pracê obs³ugi
dwóch trybów
pracy wykonuj¹
p o d p r o g r a m y

„tryb0:” oraz „tryb1:”, przedstawione
na listingu 4. Podprogram jest jedn¹
z najbardziej elementarnych czêœci pro-
gramu. Wywo³uje go instrukcja „call”
lub jej warianty, która zapamiêtuje na
stosie aktualny adres wykonywanego
programu oraz skacze pod adres poda-
ny. Wyjœcie z podprogramu, instrukcja
„ret”, powoduje odczytanie ze stosu
adresu powrotu i kontynuowanie pro-
gramu po instrukcji skoku. Œrednia sy-
gna³u obliczana jest dla 256 próbek
przez kolejne ich sumowanie w parze
rejestrów r8, r9. Dodawanie 16-bitowe
realizuje para instrukcji „add”, „adc”.
W przypadku, gdy wynik dodawania
dwóch m³odszych bajtów przekroczy
wartoœæ mieszcz¹c¹ siê w jednym baj-
cie, nadmiarowy bit (przeniesiony
przez bit C), zostanie dodany do sumy
bajtów starszych. Jako wynik wartoœci
œredniej pobieramy i wyœwietlamy po
prostu starszy bajt sumy (r9), co odpo-

27

4/99

tryb0: ; obliczanie sredniej z probek

cpi

r19,1

; czy obliczono juz srednia z 256 probek?

brne

_nosum

; tak, nie sumuj dalej

add

r8,r25

; dodaj probke do sredniej

adc

r9,r10

_nosum:

dec

r6

; zmniejsz mlodszy bajt licznika probek

brne

_nodisp1

; nie koniec => wyjscie

dec

r19

; zmniejsz starszy bajt licznika probek

brne

_nodisp1

; nie koniec => wyjscie

mov

r16,r9

; wyswietl starszy bajt sredniej z probek

rcall

disphex

; na dwoch prawych modulach LED

mov

r14,r0

mov

r15,r1

clr

r8

; kasuj srednia

clr

r9

ldi

r19,32

; laduj licznik probek

_nodisp1:

ret

tryb1: ; wyznaczanie maksymalnej i minimalnej wartosci probek

cp

r8,r25

; czy aktualna probka mniejsza od dotychczasowej

najmniejszej

brlo

_less

; nie => przeskocz

mov

r8,r25

; tak, wpisz aktualna jako najmniejsza

_less:

cp

r25,r9

; czy aktualna probka wieksza od dotychczasowej

najwiekszej

brlo

_greater

; nie => przeskocz

mov

r9,r25

; tak, wpisz aktualna wartosc jako najwieksza

_greater:

dec

r6

; zmniejsz mlodszy bajt licznika probek

brne

_nodisp2

; nie koniec => wyjscie

dec

r19

; zmniejsz starszy bajt licznika probek

brne

_nodisp2

; nie koniec => wyjscie

mov

r16,r8

; wyswietl minimalna wartosc probek

rcall

disphex

; na lewych modulach

mov

r12,r0

mov

r13,r1

mov

r16,r9

; wyswietl maksymalna wartosc probek

rcall

disphex

; na prawych modulach

mov

r14,r0

mov

r15,r1

clr

r8

; wpisz 0 do maksymalnej i 255 do minimalnej

clr

r9

; wartosci probek

dec

r8

ldi

r19,32

; laduj licznik probek

_nodisp2:

ret

Listing 4 Procedury obs³ugi dwóch trybów pracy

SH/LD

CLK

DATA

Rys. 5 Przebiegi sygna³ów w czasie

odczytywania stanu przycisków

ldi

r16,$da

; wyswietlenie napisu ’da’ w pierwszych

rcall

disphex

; dwoch modulach LED

mov

r12,r0

mov

r13,r1

clr

r10

; bazowy rejestr wartosci 0

clr

r7

; rejestr trybu pracy

ldi

r19,2

; starszy rejestr licznika probek

_main:

mov

r0,r22

; pobierz ostatni numer przerwania

_change1:

cp

r0,r22

; czy aktualny numer taki sam, jak zapamietany

breq

_change1

; tak -> czekaj az sie zmieni

mov

r0,r22

; pobierz ostatni numer przerwania

_change2:

cp

r0,r22

; czy aktualny numer taki sam, jak zapamietany

breq

_change2

; tak -> czekaj az sie zmieni

tst

r20

; czy nacisnieto jakis przycisk ?

breq

_nokey

; nie => pominiecie obslugi

clr

r20

; przyjecie danego przycisku

com

r7

; negacja trybu pracy

brne

_nokey

; tryb != 0 => pominiecie inicjacji

ldi

r16,$da

; wyswietlenie napisu ’da’

rcall

disphex

mov

r12,r0

mov

r13,r1

_nokey:

sbrs

r7,0

; jesli tryb = 1, omin nastepna instrukcje

rcall

tryb0

; skocz do podprogramu obslugi trybu 0

sbrc

r7,0

; jesli tryb = 0, omin nastepna instrukcje

rcall

tryb1

; skocz do podprogramu obslugi trybu 1

Listing 3 G³ówna pêtla programu kalibracji uk³adu

Program kalibracji
przetwornika A/C

background image

wiada podzieleniu tej sumy przez 256
(przesuniêcie w prawo o 8 bitów).
Obliczanie wartoœci maksymalnej i mi-
nimalnej sygna³u jest ju¿ doœæ proste.

W powy¿szych procedurach wyko-

rzystujemy czêsto podprogram „di-
sphex:” (listing 5). Odpowiada on za
konwersjê liczby umieszczonej w reje-
strze r16, na dwa bajty odpowiadaj¹ce
znakom heksadecymalnym tej liczby,
mog¹cym ju¿ byæ wyœwietlonym na na-
szym wyœwietlaczu. Z powodu skompli-
kowanej postaci tych znaków, wartoœci
im odpowiadaj¹ce zosta³y zapisane
w tablicach bajtów „hextab1” i „he-

xtab2”, z których s¹ odpowiednio od-
czytywane. Tablice te zosta³y umie-
szczone w pamiêci programu mikrokon-
trolera, dlatego te¿ odczyt ich umo¿li-
wia nam jedynie instrukcja „lpm”. £a-
duje ona do rejestru r0 dan¹ z komórki
pamiêci wskazywanej przez zawartoœæ
16-bitowego rejestru Z. Przekszta³cenie
liczby 8-bitowej na dwa znaki reprezen-
tuj¹ce kod heksadecymalny bêdzie po-
lega³o wiêc na dodaniu górnych (dla
drugiego znaku dolnych) czterech bitów
do adresu pocz¹tku odpowiedniej tabli-
cy, a nastêpnie odczytaniu wartoœci dla
wyœwietlacza.

Aby u³atwiæ analizê ca³ego progra-

mu, w tabeli 1 zestawiono funkcje ja-
kie spe³niaj¹ w nim poszczególne reje-
stry mikrokontrolera.

Efekt pog³osu jest jednym z najatrakcyj-

niejszych efektów cyfrowego przetwarzania
sygna³ów dŸwiêkowych. Nasz uk³ad posiada
wystarczaj¹c¹ moc
obliczeniow¹, aby rea-
lizowaæ ten efekt
w czasie rzeczywistym.
Schemat blokowy naj-
prostszego algorytmu
tworzenia quasi-natu-
ralnego pog³osu przed-
stawia rysunek 6.

Jak widaæ sam

schemat jest doϾ pro-
sty. Wchodz¹cy dŸwiêk
jest sumowany z dŸwiê-
kiem opóŸnionym
i poddanym pewnemu
t³umieniu (wzmocnie-
niu o wartoœci <1). Od
wartoœci opóŸnienia
i t³umienia zale¿y ro-
dzaj otrzymywanego
pog³osu. Praktyczne
wa-rtoœci opóŸnienia to
30 ms÷1 s, zaœ t³umie-
nia 0,3÷0,9. Trudno
odnieϾ przedstawiony
tutaj schemat do jakie-
goœ konkretnego mo-
delu fizycznego rozcho-
dzenia siê dŸwiêku, jed-
nak uzyskiwany efekt
koñcowy wyklucza
wszelk¹ potrzebê po-
szukiwania takiego mo-
delu.

Program pêtli g³ównej procedury po-

g³osu przedstawia listing 6. Aby uzyskaæ
efekt opóŸnienia, musimy zapamiêtaæ gdzieœ
pewn¹ iloœæ poprzednich próbek, wykorzy-
stamy wiêc obecn¹ w naszym uk³adzie ze-
wnêtrzn¹ pamiêæ RAM. Ustawienie bitu 7
w rejestrze MCUCR w³¹cza nam dostêp do
tej pamiêci. Jak widaæ, aktualna wartoœæ
opóŸnienia pamiêtana jest w rejestrze r9-
, zaœ wartoœæ t³umienia w rejestrze r10.
OpóŸniane dane zapamiêtywane s¹ w pa-
miêci zewnêtrznej pocz¹wszy od adresu
$400 (pocz¹tek pamiêci wykorzystuje pa-
miêæ wewnêtrzna). Koniec tej pamiêci okre-
œla zawartoœæ rejestru r9 (tylko 8 górnych bi-

28

4/99

disphex:

push ZH

; zachowaj rejestry uzywane przez podprogram

push ZL
push r17
push r3
ldi

ZH,high(hextab2*2)

; laduj adres tablicy znakow do rejestru Z

ldi

ZL,low(hextab2*2)

mov

r17,r16

; pobierz dolny pol-bajt

andi

r17,15

clr

r3

add

ZL,r17

; dodaj do adresu tablicy

adc

ZH,r3

lpm

; pobierz prawy znak do wyswietlenia

mov

r1,r0

; wpisz do rejestru wynikowego

swap r16

; pobierz gorny pol-bajt

andi

r16,15

ldi

ZH,high(hextab1*2)

; laduj adres tablicy znakow do rejestru Z

ldi

ZL,low(hextab1*2)

add

ZL,r16

; dodaj do adresu tablicy

adc

ZH,r3

lpm

; pobierz lewy znak do wyswietlenia

pop

r3

; odtworz rejestry uzywane przez podprogram

pop

r17

pop

ZL

pop

ZH

ret

hextab1:

.db

0b10110111,0b00010100

.db

0b01110011,0b01110110

.db

0b11010100,0b11100110

.db

0b11100111,0b00110100

.db

0b11110111,0b11110110

.db

0b11110101,0b11000111

.db

0b01000011,0b01010111

.db

0b11100011,0b11100001

hextab2:

.db

0b01111101,0b01000001

.db

0b01101110,0b01100111

.db

0b01010011,0b00110111

.db

0b00111111,0b01100001

.db

0b01111111,0b01110111

.db

0b01111011,0b00011111

.db

0b00001110,0b01001111

.db

0b00111110,0b00111010

Rejestr

Funkcja

0

roboczy

1

roboczy

2

roboczy

3

roboczy

4

roboczy

5

roboczy

6

roboczy

7

roboczy

8

roboczy

9

roboczy

10

roboczy

11

ostatnio odczytana wartoϾ

z rejestru przycisków

12

wyœwietlana dana modu³u LED

nr 1

13

wyœwietlana dana modu³u LED

nr 2

14

wyœwietlana dana modu³u LED

nr 3

15

wyœwietlana dana modu³u LED

nr 4

16

roboczy

17

roboczy

18

roboczy

19

roboczy

20

numer przyciœniêtego przycisku

dla u¿ytkownika

21

numer wyœwietlanego modu³u

LED

22

licznik przerwañ przepe³nienia

licznika 0

23

pamiêæ tymczasowa dla rejestru

SREG

24

aktualny stan programowego

przetwornika A/C

25

ostatnio przetworzona wartoϾ

A/C

26

XL

27

XH

28

YL

29

YH

30

ZL

31

ZH

Tabela 1 – Funkcje rejestrów

Listing 5 Procedura wyœwietlania liczby heksadecymalnej

Tworzenie prostego pog³osu

opóŸnienie

sygna³u

wejœcie

sygna³u

wyjœcie

Rys. 6 Schemat blokowy prostego uk³adu

pog³osowego

background image

tów, dolne 8 traktowane jest domyœlnie jako
0), a wiêc na pocz¹tku jest to $2000. Przy-
chodz¹ce próbki bêd¹ zapisywane w kó³ko
w tym obszarze, co przy czêstotliwoœci prób-
kowania równej 16384 HZ, da nam ($2000-
$400)/16384 = 437,5 ms póŸnienia.

Krytycznym dla procesora zadaniem

jest realizacja procesu t³umienia sygna³u.
Wymaga ono bowiem wykonania operacji
mno¿enia, która jest z natury doœæ z³o¿on¹.
Rozwi¹zaniem przyspieszaj¹cym dzia³anie
jest zastosowanie tablicy indeksowanej war-
toœci¹ próbki. Poniewa¿ wartoœæ t³umienia
jest sta³a, elementy tablicy mog¹ zawieraæ
przemno¿one ju¿ próbki. Próbki mog¹ przyj-
mowaæ wartoœci z przedzia³u 0÷255, trzeba
wiêc wygenerowaæ tablicê 256 elementow¹,
podczas gdy normalnie w jednej sekundzie
musielibyœmy wykonaæ 16384 mno¿eñ,

gdybyœmy chcieli wykonywaæ je osobno dla
ka¿dej próbki. Tablicê o której mowa, tworzy
podprogram „makescale:”. Umieszczona jest
ona w pamiêci zewnêtrznej pod adresem
$7f00. Jako parametr przyjmuje ona w reje-
strze r16 mno¿nik z zakresu 0÷255, który
odpowiada przemno¿eniu wartoœci próbek
przez wartoœci 0÷1.

Przeanalizujmy g³ówny blok tworzenia

pog³osu. Po skopiowaniu do rejestru r16
ostatnio przetworzonej próbki, pobieramy
przez rejestr X próbkê opóŸnion¹. Odejmuj¹c
od r16 128 („subi r16,128”) dokonujemy
konwersji aktualnej próbki na liczbê ze zna-
kiem, z przedzia³u –128÷127. Rejestr Z za-
wiera adres $7fxx. Wpisanie do ZL (w miejsce
xx) opóŸnionej wartoœci próbki pozwoli nam
na pobranie wprost z tablicy jej przeskalowa-
nej ju¿ wartoœci („ld r17,Z”). Wartoœæ ta jest

równie¿ liczb¹ ze znakiem. Zsumowanie ich
(„add r16,r17”) pozwoli nam teraz na proste
wykrycie, czy wynik operacji nie przekroczy³
dopuszczalnego zakresu –128÷127 („brvc
_no_over”). Jeœli zakres zosta³ przekroczony,
nale¿y dokonaæ obciêcia wartoœci od góry
(127) lub od do³u (-128). W przeciwnym wy-
padku moglibyœmy otrzymaæ na wyjœciu nie-
przyjemne zgrzyty i trzaski, spowodowane za-
pêtleniem obliczenia w wyniku dodawania
(np. 126 + 3 = -127 zamiast obciêtego
127). Zsumowany i ewentualnie obciêty sy-
gna³ jest zapamiêtywany do opóŸnienia („st
X+,r16”) razem ze zwiêkszaniem adresu
w rejestrze X o 1, zaœ wartoœæ w r16 jest z kon-
wertowana na liczbê bez znaku (wymagan¹
przez przetwornik C/A). W przypadku stwier-
dzenia osi¹gniêcia przez rejestr X granicznej
wartoœci opóŸnienia, jest ³adowana do niego

29

4/99

ldi

r16,128

; wlacz dostep do zewnetrznej pamieci RAM

out

MCUCR,r16

; ************************************
; Glowna petla przetwornika programu

ldi

XH,4

; X = $400 - poczatek danych opoznienia

clr

XL

ldi

r16,32

; poczatkowa wartosc opoznienia

mov

r9,r16

ldi

r16,128

; poczatkowa wartosc tlumienia

mov

r10,r16

rcall

makescale

; utworz tablice skalowania probek

rjmp

_display

; pokaz obecne ustawienia

_main:

mov

r0,r22

; pobierz ostatni numer przerwania

_change1:

cp

r0,r22

; czy aktualny numer taki sam, jak zapamietany

breq

_change1

; tak -> czekaj az sie zmieni

mov

r0,r22

; pobierz ostatni numer przerwania

_change2:

cp

r0,r22

; czy aktualny numer taki sam, jak zapamietany

breq

_change2

; tak -> czekaj az sie zmieni

mov

r16,r25

; pobierz do r16 ostatnio przetworzona probke

ld

r17,X

; pobierz probke po opoznieniu

subi

r16,128

; zmien probke na liczbe ze znakiem

mov

ZL,r17

; zaladuj indeks do tablicy skalowania probek

ld

r17,Z

; pobierz przeskalowana probke (opozniona)

add

r16,r17

; dodaj obecna probke i opozniona po

przeskalowaniu

brvc

_no_over

; jesli nie wystapilo przepelnienie, omin blok

sbrs

r16,7

; jesli wynik przepelnienia = liczba ujemna, omin

nastepna instrukcje

ldi

r17,-128

; obciecie od dolu

sbrc

r16,7

; jesli wynik przepelnienia = liczba dodatnia, omin

nastepna instrukcje

ldi

r17,127

; obciecie od gory

mov

r16,r17

_no_over:

st

X+,r16

; zapamietaj obliczona probke do opoznienia

subi

r16,-128

; zamien probke na liczbe bez znaku

cp

XH,r9

; czy zapetlic bufor opoznienia ?

brne

_x_is_ok

; nie => skok

ldi

XH,4

; ladowanie wskaznika bufora na poczatek (adres

$400)

clr

XL

_x_is_ok:

cpi

r20,8

; czy wcisnieto przycisk nr 3 ?

brne

_no_key1

; nie => sprawdz nastepny

clr

r20

; obsluga zmniejszenia wartosci opoznienia

ldi

r16,6

cp

r9,r16

breq

_no_key

dec

r9

ldi

XH,4

rjmp

_display

_no_key1:

cpi

r20,16

; czy wcisnieto przycisk nr 4 ?

brne

_no_key2

; nie => sprawdz nastepny

clr

r20

; obsluga zwiekszenia wartosci opoznienia

ldi

r16,100

cp

r9,r16

breq

_no_key

inc

r9

rjmp

_display

_no_key2:

cpi

r20,4

; czy wcisnieto przycisk nr 2 ?

brne

_no_key3

; nie => sprawdz nastepny

clr

r20

; obsluga zmniejszenia wartosci tlumienia

mov

r16,r10

cpi

r16,32

breq

_no_key

subi

r16,4

mov

r10,r16

rcall

makescale

rjmp

_display

_no_key3:

cpi

r20,32

; czy wcisnieto przycisk nr 5 ?

brne

_no_key

; nie => sprawdz nastepny

clr

r20

; obsluga zwiekszenia wartosci tlumienia

mov

r16,r10

cpi

r16,252

breq

_no_key

subi

r16,-4

mov

r10,r16

rcall

makescale

_display:

mov

r16,r9

; wyswietlenie aktualnych ustawien

rcall

disphex

mov

r12,r0

; lewe dwa moduly LED = opoznienie

mov

r13,r1

mov

r16,r10

rcall

disphex

mov

r14,r0

; prawe dwa moduly LED = tlumienie

mov

r15,r1

_no_key:

out

OCR1BL,r16

; wpisz wynik jako sterowanie generatorem PWM

glosnika

sbrs

r16,7

; jesli bit 7 w r16 = 1, omin nastepna instrukcje

neg

r16

; r16 = -r16

subi

r16,128

; skasuj bit 7 w r16

add

r16,r16

; pomnoz r16 przez 2

out

OCR1AL,r16

; wpisz wynik jako sterowanie jasnoscia diody

LED

rjmp

_main

; nastepna konwersja

Listing 6 G³ówna pêtla programu prostego pog³osu

background image

wartoœæ pocz¹tkowa
$400. Dalsza czêœæ pêtli
obs³uguje sterowanie
parametrami pog³osu
z poziomu przycisków
i odpowiednie uaktual-
nianie danych.

Aby dope³niæ

obrazu rzeczy omówi-
my równie¿ dzia³anie
podprogramu „make-
scale:”, tworz¹cego ta-
blicê t³umienia próbek
(listing 7). „Sercem”
procedury jest krótki
modu³ mno¿enia liczb
oœmiobitowych ze zna-
kiem, zaczynaj¹cy siê
od etykiety „_makelp”.
Modu³ ten zosta³ zapo-
¿yczony z

zestawu

przyk³adowych proce-
dur, dostarczanego
przez firmê Atmel wraz
z pakietem asemblera.
Jest to typowa, szybka
implementacja mno¿e-

nia. Mno¿eniu podlega w pêtli wartoœæ aktu-
alnie skalowanej próbki skopiowana z reje-
stru r10 do r8 przez podan¹ przez program
g³ówny wartoœæ skalowania (rejestr r16).
Wynik mno¿enia zapamiêtywany jest w ta-
blicy, która przesuwana jest nastêpnie na ko-
lejny element. W ten sposób wymna¿ane s¹
wszystkie wartoœci z przedzia³u 0÷255,
a wynik ich przeskalowania znajduje siê
w pamiêci od adresu $7f00 do $7fff. Tak
utworzona tablica mo¿e ju¿ byæ u¿ywana
przez g³ówn¹ pêtlê tworzenia pog³osu.
Zakoñczenie

Opisane w tym numerze programy

znajduj¹ siê na stronach internetowych PE.
W nastêpnym numerze omówimy podsta-
wy filtracji cyfrowej oraz kilka przyk³adów
filtrów pasmowo-przepustowych, dziêki
którym mo¿liwa bêdzie cyfrowa korekcja sy-
gna³u dŸwiêkowego. Powiemy równie¿ co
nieco o transmisji szeregowej z komputerem
przez z³¹cze RS232. Zapraszamy do wspól-
nej zabawy.

à

à Grzegorz Wróblewski

30

4/99

makescale:

; procedura tworzaca tablice skalowania probek (tlumienia)

; w r16 nalezy podac wartosc wzmocnienia w zakresie 0..255,
; odpowiadajaca wzmocnieniu 0..100%
push r18

; zachowaj rejestry uzywane przez procedure

push r8
push r9
push r10
ldi

ZH,$7f

; laduj do Z adres tablicy - $7f00

clr

ZL

clr

r10

; inicjuj rejestr danych do skalowania

lsr

r16

; podziel mnoznik przez 2

_makelp:

mov

r8,r10

; pobranie skalowanej wartosci do rejestru

tymczasowego

ldi

r18,8

; inicjuj licznik petli mnozenia

sub

r9,r9

; kasuj starszy rejestr wyniku mnozenia

_m8s_1:

brcc

_m8s_2

; jesli poprzedni bit = 1

add

r9,r16

; dodaj mnoznik do starszego rejestru wyniku

_m8s_2:

sbrc

r8,0

; jesli aktualny bit = 1

sub

r9,r16

; odejmij mnoznik od starszego rejestru wyniku

asr

r9

; przesun w prawo caly rejestr wyniku: starszy

ror

r8

; i mlodszy; kopiuj poprzedni bit do C

dec

r18

; zmniejsz licznik petli

brne

_m8s_1

; powtorz 8 razy

add

r8,r8

; pomnoz wynik przez 2

adc

r9,r9

st

Z,r9

; zapamietaj starszy bajt wyniku w tablicy

inc

ZL

; przejdz do nastepnego elementu

inc

r10

brne

_makelp

; powtarzaj 256 razy

pop

r10

; odtworz rejestry uzywane przez procedure

pop

r9

pop

r8

pop

r18

ret

Listing 7 Procedura tworz¹ca tablice skalowania próbek

01-702 Warszawa, ul. G¹biñska 24

Sprzeda¿: ul.Szegedyñska 13a

01-957 Warszawa

tel.:(0-22) 864-77-85
fax.:(0-22) 864-77-86
e-mail: tvsat@tvsat.com.pl

Elementy SMD i konwencjonalne w iloœciach hurtowych

WYBRANE POZYCJE Z PE£NEJ OFERTY

TRANSPONDERY PCF 7930/7931 - NIE WYMAGA ZASILANIA

Uk³ady z kontrolerami identyfikacji i zabezpieczeñ

PROCESORY DIP, PLCC, QFP:

SAB-C501, SAB-C502, SAB83C515, 80C31, 8031, 80C49, 80C51, 8051, 80C52, 8052, 80C535,
80535, 80C537, 80C562, 83C517, 80C851, 80C652, 83C154, 87C51, 87C52, 87C528, 87P50,
68HC11, 83CL781/2, 83CE558/9, UPD75352AGF, PCD3352

PAMIÊCI:

24C02, 24C04, 24C16, 8582, 8594, 93C46, 93C66, 2732/64/128/256, 28C17, 281512, 28C010,
6264, 62256, 628128

UK£ADY TELEKOMUNIKACYJNE:

FX611, pcd3352, PMB2200, U4058, U4080, MSM:6388/6389/7508/7540 (CODEC)
UK£ADY SERII LS, ALS, AC, HC, ACT, HCT, CMOS (4000):
74XX125, 132, 138, 139, 164, 240, 241, 373, 374, 377, 541, 573, 574...
40XX01, 07, 11, 13, 17, 21, 25, 52, 60, 93, 106, 4528, 4538, 4584...

UK£ADY LINIOWE:

TDA: 4580, 4650, 4660, 4661, 5030, 5031, 8730, 9800
SAA: 4700, 7157, 7197, 5243E ... U: 4030, 2129, 2560, 2829, 6043 (TFK)
U 4083-MC34119, LM124/224/319/324/358/1458, MC34083

UK£ADY SYNTEZY I DZIELNIKI:

SAB6456, SAB8726, SDA3202, SP5510, TSA5511, TDA8730, ADC1034...

TRANZYSTORY I DIODY

BC546/558/846/858, BD825, RFD15P05, PLL4448/BAV/103/BAX99, KGF:1145...

KWARCE, GENERATORY, REZONATORY CERAMICZNE:

32 kHz, 3,00/3,57/3,58/4,00/6,00/10,00/11,05/12,08/16,38/24,00/57,6/58,11/100 MHz

TRANSOPTORY, OPTOTRIAKI:

CNY17(1-4), H11, MOC3009/11, PC3D16/317/357/814, SFH 600/601/602, TIL 111, TLP 124, ILQ
615-3, ILQ 615

PRZEKANIKI:

1,2V, 5V, 12V i inne np. V32040/V23061, OAR-SH-109 DX

WYŒWIETLACZE LCD I LED:

1x24, 2x8, 2x16, 2x20, 2x24, 4x16, 8x20, graficzne, 31 cyfry, LED-SMD i inne.

Serdecznie zapraszamy do odwiedzenia naszej strony w INTERNECIE

www.tvsat.com.pl

(budynek hotelu AGORA,

800 metrów od Wolumenu)

background image

Opisany uk³ad pozwoli nam w pro-

sty sposób przerobiæ ka¿dy tuner z g³o-
wic¹ UKF przestrajan¹ napiêciowo (za-
tem wiêkszoœæ tunerów produkcji kra-
jowej), lub po wymianie g³owicy tak¿e
dowolny inny odbiornik radiowy (na
przyk³ad radia z g³owic¹ przestrajan¹
kondensatorem). Zamieszczone do-
k³adne opisy z pewnoœci¹ umo¿liwi¹
dokonanie tego nie tylko doœwiadczo-
nym elektronikom, ale tak¿e tym zu-
pe³nie pocz¹tkuj¹cym.

W wiêkszoœci polskich tunerów

przestrajanie czêstotliwoœci odbywa³o
siê poprzez zmianê napiêcia sta³ego
doprowadzanego do uk³adu diod po-
jemnoœciowych. Diody pojemnoœciowe
pod wp³ywem tego napiêcia zmienia³y
swoj¹ pojemnoœæ co wp³ywa³o na
zmianê czêstotliwoœci generowanej
przez VCO (ang. Voltage Controlled
Oscillator
– generator przestrajany na-
piêciowo). Zmiana napiêcia realizowa-
na by³a przy zastosowaniu potencjo-
metru lub uk³adu potencjometrów
(mo¿liwoœæ „zapamiêtania” kilku stacji
radiowych). W³aœnie takie rozwi¹zanie

pozwala w prosty spo-
sób zmodyfikowaæ nasz
tuner poprzez dodanie
do niego uk³adu syntezy
czêstotliwoœci.

Mo¿liwoœæ zintegro-

wania du¿ej liczby ele-
mentów w jednym uk³a-
dzie scalonym, najbar-

dziej widoczna oczywiœcie na rynku
procesorów, pozwoli³a tak¿e na zbudo-
wanie jednego uk³adu realizuj¹cego
funkcjê syntezera czêstotliwoœci radio-
wych przestrajanego pêtl¹ fazow¹
(ang. Phase Locked Loop - PLL). Zbudo-
wanie uk³adu realizuj¹cego identyczn¹
funkcjê na uk³adach TTL nastrêcza³o
wiele trudnoœci zwi¹zanych z du¿¹ ilo-
œci¹ generowanych zak³óceñ. Dodatko-
wo wymiary p³ytki drukowanej dla ta-
kiego uk³adu by³yby doœæ spore (oko³o
1 dm

2

). Zastosowanie takiego rozwi¹za-

nia okazywa³o siê wiêc doœæ k³opotliwe.

Zadanie to w ca³oœci realizuje

uk³ad SAA 1057. Zrozumienie zasady
jego dzia³ania nie jest konieczne dla
wykonania uk³adu, jednak warto po-
znaæ jak dzia³a uk³ad, który dzisiaj jest
ju¿ standardem.

Do koñcówki 8 uk³adu (rys. 1) do-

prowadzony jest sygna³ z VCO (hetero-
dyny), który wstêpnie dzielony jest
przez 10. Nastêpnie sygna³ ten jest
dzielony ponownie w 15-bitowym pro-
gramowalnym liczniku. Tak podzielony
sygna³ doprowadzony jest do detekto-
ra fazy, oraz szybkiego detektora fazy.
Z drugiej strony do detektorów fazy
doprowadzony jest sygna³ z uk³adu ge-
neratora czêstotliwoœci odniesienia
(poprzez dzielnik czêstotliwoœci odnie-
sienia). Oba te sygna³y s¹ „porówny-
wane” w detektorach fazy. Szybki de-
tektor fazy s³u¿y do szybkiego, lecz
mniej dok³adnego, przestrojenia uk³a-
du na now¹ czêstotliwoœæ. Kiedy detek-
tor dostrojenia stwierdzi, ¿e odpowie-
dnia czêstotliwoœæ zosta³a „prawie”
osi¹gniêta, odcina sygna³ z szybkiego
detektora fazy (dzieje siê to w uk³adzie
S ), teraz dzia³a jedynie detektor fazy.

Sygna³ z detektorów fazy poprzez

uk³ad S

steruje programowalnym

wzmacniaczem pr¹dowym, z którego
sygna³ poprzez koñcówkê 6 uk³adu ste-
ruje generatorem VCO. W ten sposób,
jeœli czêstotliwoœæ VCO zmieni siê, zmie-
ni siê równie¿ sygna³ wyjœciowy z detek-
tora fazy, co z kolei wp³ynie na zmianê
napiêcia steruj¹cego VCO. Korekcja ta
bêdzie przeprowadzana tak d³ugo, a¿
czêstotliwoœæ VCO bêdzie identyczna
z zaprogramowan¹.

Przyk³adowo, jeœli krok syntezy

wynosi 10 kHz, (czêstotliwoœæ odnie-
sienia dla detektora fazy wynosi wtedy
1 kHz) i chcemy uzyskaæ czêstotliwoœæ
generatora VCO (heterodyny) równ¹

31

Kiedyœ szczytem naszych marzeñ by³o posiadanie w³asnego tunera, pro-
dukcji oczywiœcie krajowej. Kiedy zgromadziliœmy ju¿ odpowiedni¹ sum-
kê pozostawa³ nam do rozwi¹zania zasadniczy problem – nale¿a³o je-
szcze nasz upragniony sprzêt zakupiæ, co nie by³o wcale rzecz¹ ³atw¹. Je-
œli natomiast któremuœ z kolegów uda³o siê z³o¿yæ ca³¹ „wie¿e”, wów-
czas wzbudza³ on ogólny podziw i zazdroœæ. Dziœ tunery przestrajane po-
tencjometrem dawno odesz³y w zapomnienie, na rynku obecne s¹ jedy-
nie nowoczesne tunery cyfrowe. Mimo to w naszych domach nadal czê-
sto mo¿na spotkaæ amplitunery takie jak TOSCA 303, nieco zakurzone,
z „p³ywaj¹c¹” czêstotliwoœci¹, lecz nadal pamiêtaj¹ce stare, dobre cza-
sy. Ich los nie jest jednak przes¹dzony. W prosty sposób mo¿emy prze-
robiæ je na zupe³nie nowe urz¹dzenia z cyfrow¹ skal¹, pamiêciami i syn-
tez¹ czêstotliwoœci. Przywrócimy im ich dawn¹ œwietnoœæ, a przy okazji
utrzemy nosa kolegom, którzy za podobny, nowy sprzêt musieli zap³a-
ciæ co najmniej kilkaset z³otych.

4/99

Synteza do tunera UKF – cz. 1

Uk³ad SAA1057

Zakres czêstotliwoœci

– 65,5÷74,0 MHz
– 87,5÷108,0 MHz

Krok przestrajania

– 10 kHz

Iloœæ pamiêci

– 100

Minimalne napiêcie
zasilania

– 7 V

Pobór pr¹du

– 80 mA

Napiêcie przestrajania VCO

– 0÷30 V

Napiêcie wejœciowe w.cz.

– 10÷500 mV

Impedancja wejœciowa

– 75 W

Dane techniczne

background image

68.000.000 Hz nale¿y do 15 bitowego
programowalnego dzielnika wpisaæ
liczbê 6.800. Sygna³ z generatora VCO
(68.000.000 Hz) zostaje podzielony
przez 10, co daje 6.800.000 Hz, które
dzielone jest przez 6.800, daj¹c
w efekcie 1.000 Hz. Podzielona czêsto-
tliwoœæ sygna³u z VCO jest zatem iden-
tyczna jak czêstotliwoœæ sygna³u odnie-
sienia - uk³ad jest dostrojony. Jeœli po
podzieleniu czêstotliwoœci VCO otrzy-
malibyœmy np. 1.010 Hz napiêcie stro-
jenia zmienia³oby siê tak d³ugo (zatem
zmienia³a by siê tak¿e czêstotliwoœæ sy-
gna³u generowanego przez VCO), a¿
podzielona czêstotliwoœæ VCO osi¹gnê-
³aby znowu wartoœæ 1.000 Hz.

Taki uk³ad regulacji czêstotliwoœci

pozwala uzyskaæ du¿¹ dok³adnoœæ czê-

stotliwoœci, uniezale¿niaj¹c j¹ jednocze-
œnie od wp³ywu czynników zewnêtrz-
nych np. temperatury otoczenia.

Za programowanie dzielnika oraz

ustawianie parametrów pracy uk³adu
odpowiedzialna jest 3-bitowa magistra-
la steruj¹ca. Za jej poœrednictwem pro-
gramowany jest 15 bitowy dzielnik (za-
trzask A) oraz pozosta³e parametry pra-
cy uk³adu, takie jak np. krok przestraja-
nia (zatrzask B).

Schemat blokowy uk³adu przedsta-

wiono na rysunku 2. Ca³ym uk³adem
steruje mikrokontroler AT 89C2051,
w którym zapisany zosta³ odpowiedni
program.

Dla zaoszczêdzenia li-

nii uk³adu mikrokontro-
lera do sterowania wy-
œwietlaczami zastosowa-
no 8 bitowy rejestr prze-
suwny US2 (rys. 3).
W uk³adzie multiplekso-
wania wyœwietlaczy pra-
cuj¹ tranzystory T1÷T4.
Oznacza to, ¿e jednocze-
œnie œwieci tylko jeden
wyœwietlacz, lecz zmiany
te nastêpuj¹ tak szybko,
¿e s¹ niezauwa¿alne dla
ludzkiego oka.

Linie steruj¹ce tranzy-

storami spe³niaj¹ jeszcze
jedn¹ funkcjê. Odpowie-
dzialne s¹ za odczyt sta-
nu klawiatury. Jeœli w da-
nej chwili zapalony jest
pierwszy segment (T1
przewodzi, P1.2 = 0)

mo¿liwy jest odczyt kla-

wisza W£4. W przypadku gdy jest on
naciœniêty port P3.3 poprzez diodê D4
zostanie zwarty do masy, co bedzie od-
czytane jako naciœniêcia klawisza W£4.
Analogicznie gdy zapalony jest drugi
segment, odczytywany jest stan klawi-
sza, W£3 itd.

Uk³ad US3 jest to 256 bajtowa pa-

miêæ EEPROM sterowana przy pomocy
magistrali I

2

C. W uk³adzie tym zapa-

miêtywane s¹ dane dotycz¹ce zapro-
gramowanych stacji radiowych, oraz
numer aktualnie wybranej stacji. Rezy-
story R2, R3 niezbêdne s¹ dla popraw-
nej pracy uk³adu urz¹dzeñ sterowa-
nych przy u¿yciu magistrali I

2

C. Sam

sposób sterowania magistral¹ jest doœæ
skomplikowany, jednoczeœnie nie zmie-
nia samej idei dzia³ania uk³adu.

Sterowanie uk³adem SAA 1057 zre-

alizowane jest za poœrednictwem 3-bito-
wej magistrali:
CLB

– sygna³ taktuj¹cy

DLEN

– sygna³ wyboru uk³adu scalonego

do którego zostanie przepro-
wadzona transmisja danych
(w naszym przypadku jest tylko
jeden uk³ad)

DATA

– dane programuj¹ce uk³ad scalony

Dodatkowo wyprowadzono sygna³ ST-GL,
który jest wykorzystywany do sterowania
g³owicami dwu-systemowymi. Sygna³ ten
steruje baz¹ tranzystora T6 (rys. 4). W za-
le¿noœci od poziomu sygna³u ST-GL tranzy-
stor T5 przewodzi lub nie.

32

4/99

SAA 1057

12

16 BITOWY REJESTR

18

13

TEST

14

MAGISTRALI

STEROWANIE

ZATRZASK A

ZATRZASK B

17

16

GENERATOR

CZESTOTLIWOSCI

CZÊSTOTLIWOŒCI

DZIELNIK

DETEKTOR

SZYBKI

4

15

ZASILANIE

ODNIESIENIA

ODNIESIENIA

FAZY

PROGRAMOWLANY

9

PRADOWY

WZMACNIACZ

8

÷10

15 BITOWY

6

11

10

DOSTROJENIA

DETEKTOR

MUX

DETEKTOR

FAZY

DZIELNIK

PROGRAMOWALNY

7

5

3

2

1

Rys. 1 Schemat blokowy uk³adu SAA 1057

Konstrukcja i zasada dzia³ania

(tylko dla g³owic

dwusystemowych)

mkontr.

napiecie zmiany

zakresu - wejœcie

ZAKRESU

UK£AD ZMIANY

KLAWIATURA

dwusystemowych)

(tylko dla g³owic

zakresu - wyjœcie

napiêcie zmiany

SAA 1057

3

WYŒWIETLACZ

heterodyny

napiêcie zasilania

strojenia

napiêcie

dla uk³adu strojenia

G£OWICA UKF

czêstotliwoœæ

Rys. 2 Schemat blokowy uk³adu syntezy

background image

Uk³ad sterowania zawsze znajduje siê

w jednym z trzech stanów: NORMALNY,
PAMIÊÆ, PROGRAMOWANIE. Zmiana sta-
nu pracy uk³adu nastêpuje poprzez przyci-
œniêcie odpowiedniego klawisza (rys. 5).

Ka¿demu z klawiszy przypisana zosta³a

odpowiednia funkcja przedstawiona w Ta-
beli 1. Po ka¿dym w³¹czeniu tunera auto-
matycznie ustawiony zostanie tryb PA-
MIÊÆ, oraz numer aktualnej pamiêci który
bêdzie identyczny z numerem przed wy³¹-
czeniem tunera.

Stany pracy uk³adu oraz mo¿liwe przej-
œcia pomiêdzy nimi ilustruje graf
przedstawiaj¹cy sposób sterowania
uk³adem (rysunek 6).

33

4/99

US3

GND

4

24LC02

220

m

F

100n

100n

47

m

F

X

D1

÷

D4

1N4148

7

WC

4,7k

+7V

GND

1W

C9

7805

V

in

US4

LM

C8

C7

+5V

8

+5V

C6

D4

W

£

4

D3

D1

D2

W

£

2

W

£

3

W

£

1

10

6

8

Vcc

5

SDA

SCL

2

A0

1

A1

A2

3

R3

7

P3.3/INT1

8

P3.4/T0

P3.5/T1

P3.7

9

11

R16*

4

5

6

7

C

D

A

B

15

14

12

13

P1.3

P1.2

P1.1

P1.0

CLB

+5V

GND

R2

Do uk³adu syntezy

4,7k

6

4

5

P1.6

P1.5

P1.4

G1

1

2

3

18

Z2

16

17

1

RESET

2

P3.0

P3.2/INT0

P3.1

3

6

C3 33p

10k

ST-GL

DATA

DLEN

3

2

G2

1

19

Z1

47

m

F

C5

C4

47n

„SYNTEZA

P1.7

4MHz

5

XTAL1

XTAL2

4

Q1

C2 33p

10

m

F

R1

+5V

US1

AT89C2051

20

C1

T

DP

5

W5

5

DP

V

W4

T

5

DP

W3

5

V

DP

W2

W1

5

DP

V

R11

13

QH

8

×

220

W

US2

7

74HC164

0

9

F

E

G

1

2

D

C

Y

1

X

T

U

F

E

G

D

C

9

Z

0

1
Q

1

V

S

2

Y

1

0

X

9

G

E

F

T

1

2

U

D

C

Q

Z

G

E

F

1

0

9

S

V

D

C

2

1

9

0

1

F

E

G

2

1

D

C

X

U

Y

S

10

QD

6

QE

QF

11

12

QG

CLK

8

8

S

4

Q

6

A

B

Z

7

4

6

ANODA

B

A

7

3

S

Q

Z

B

A

ANODA

8

6

Y

4

U

7

X

ANODA

8

8

U

Y

ANODA

A

B

4

6

X

7

6

4

ANODA

B

A

7

3

Q

Z

T

Z

QA

3

R4

QB

4

5

QC

CLR

9

14

A

1

B

2

CLK

D

5

4

6

7

2,2k

2,2k

2,2k

2,2k

1

G1

2

3

R14

BC557B

R15

BC557B

BC557B

R13

BC557B

R12

+5V

T3

T4

T2

T1

Rys. 3 Schemat ideowy uk³adu sterowania

Obs³uga i programowanie

Opis poszczególnych
stanów uk³adu

background image

NORMALNY
W tym trybie mo¿emy zmieniaæ czêstotli-
woœæ klawiszami: „+”, „–”.
– przyciœniêcie klawisza „MEM” powodu-

je przejœcie do trybu PAMIÊÆ;

– przyciœniêcie klawisza „PROG” nie daje

¿adnego efektu.

PAMIÊÆ
W tym trybie mo¿emy zmieniaæ numer pa-
miêci klawiszami: „+”, „–”.
– przyciœniecie klawisza „MEM” powodu-

je przejœcie do trybu „NORMALNY”;

– przyciœniêcie klawisza „PROG” powo-

duje przejœcie do trybu „PROGRAMO-
WANIE” – mo¿emy wtedy pod aktual-
nym numerem pamiêci zaprogramo-
waæ dowoln¹ czêstotliwoœæ stacji
radiowej.

W trybie PAMIÊÆ wygaszone s¹ 3 pierwsze
segmenty wyœwietlacza.

PROGRAMOWANIE
Do tego trybu mo¿emy przejœæ z trybu PA-
MIÊÆ. Pozwala on ustawiæ now¹ czêstotli-
woœæ ukryt¹ pod danym numerem pamiê-
ci. Gdy uk³ad znajduje siê w tym trybie za-
pala siê kropka na ostatniej pozycji wyœwie-
tlacza (rys. 5)
W tym trybie mo¿emy zmieniaæ czêstotli-
woœæ klawiszami: „+”, „–”.
– przyciœniecie klawisza „MEM” powodu-

je przejœcie do trybu „PAMIÊÆ” – usta-
wiona czêstotliwoœæ nie zostanie zapa-
miêtana;

– przyciœniêcie klawisza „PROG” powodu-

je przejœcie do trybu „PAMIÊÆ” – usta-
wiona czêstotliwoœæ zostanie za pamiêtana.

Przyk³ad:
Po w³¹czeniu tunera znajdujemy siê w try-
bie „PAMIÊÆ”.
1. Klawiszami „+”, „–” wybieramy nu-

mer pamiêci (np. 0).

2. Przyciskamy klawisz „PROG” (znajduje-

my siê w trybie programowania, zapa-
lona zostaje dioda sygnalizuj¹ca ten tryb
(rys. 5)).

3. Klawiszami „+”,„–” wybieramy czê-

stotliwoϾ naszej stacji.

4. Przyciskamy klawisz „PROG” – nasza

stacja zostaje zapamiêtana w pamiê-
ci nr 0. Znajdujemy siê z powrotem
w trybie „PAMIÊÆ”

Znajduj¹c siê w trybie PAMIÊÆ chcemy
sprawdziæ na jakiej czêstotliwoœci nadaje
dana stacja.
1. Przyciskamy klawisz „MEM” – znaj-

dujemy siê w trybie NORMALNY
wyœwietlana jest czêstotliwoœæ naszej
stacji radiowej.

2. Ponownie przyciskamy klawisz

„MEM” – znów znajdujemy siê
w trybie PAMIÊÆ.

Zale¿nie od posiadanego przez nas tu-

nera (g³owicy UKF) nale¿y uk³ad odpowie-
dnio skonfigurowaæ. Wykonujemy to przy
pomocy zworek Z1, Z2. Odpowiednie ich
ustawienie bêdzie mia³o wp³yw na mo¿li-
we do zaprogramowania czêstotliwoœci.

Jeœli wybraliœmy g³owicê dwu–syste-

mow¹, to w trybach PROGRAMOWANIE
i NORMALNY drobnej zmianie ulega spo-
sób zmiany czêstotliwoœci. W momencie
gdy podczas przestrajania czêstotliwoœci
„w górê” osi¹gniemy koniec „dolnego” pa-
sma (74 MHz), automatycznie przejdziemy
na zakres „górny” (87,5 MHz).

34

4/99

Oznaczenie

klawisza

Funkcja

klawisza

Opis

W£1

Zwiêkszanie czêstotliwoœci lub numeru pamiêci

W£2

+

Zmniejszanie czêstotliwoœci lub numeru pamiêci

W£3

MEM

Prze³¹czanie trybu pracy PAMIÊÆ/NORMALNY

W£4

PROG

Prze³¹czanie trybu pracy PAMIÊÆ/PROGRAMOWANIE

Tabela 1 – Funkcje klawiszy

C22

100n

10k*

BC337-25

T6

BC337-25

1k

R23

N

S

Napiêcie

Sterowania

Sterowania

Sygna³

100n

T5

C21

R22

10n

2,2n

180W

Do uk

³adu sterowania

+5V

5

6

1

2

TCA

DLEN

12

13

TR

10n

C20

47mF

C19

C18

C17

R21

DATA

1

2

3

4

10

C13

Q2

XTAL

TEST

17

3

4

DCA

DCS

TCB

CLB

14

27p

4MHz

G2’

Z

WE z G£OWICY

11

15

7

C10

C12

FFM

Vcc3

180W*

R20

18

100mF

100n

FAM

Vee

8

GND

IN

1n*

C15

330n*

Y

WY do G£OWICY

GND

R19

C11

GND

R17

C14

18k*

10k*

16

9

100mF

OUT

Vcc2

Vcc1

SAA 1057

US5

6

5

30V

X

WE Us

+5V

R18*

D5

47n

C16

Rys. 4 Schemat ideowy uk³adu syntezy

Konfiguracja typu g³owicy

kropka oddziela MHz od kHz

nie œwieci w trybie PAMIÊÆ

kropka œwieci w trybie

PROGRAMOWANIE

(wygaszone w trybie PAMIÊÆ)

MHz

kHz lub numer pamiêci

kHz

+

MEM

PROG

Funkcje klawiszy

Rys. 5 Widok wyœwietlacza i klawiatury

à

à Jaros³aw Piotrowiak

dokoñczenie w nastêpnym numerze

background image

Analog Devices, Inc. bêdzie zaopa-

trywaæ korporacje Bio Kinetics oraz
Electronics Development w

uk³ady

iMEMS (integrated Micro Electro Me-
chanical Systems) ADXL202. ADXL202
to mikrosystemowy czyjnik ruchu, przy-
spieszenia, wibracji itp. w dwóch p³a-
szczyznach. Uk³ady te bêd¹ zastosowa-
ne w urz¹dzeniach BackTalk (Bio Kine-
tics), które maj¹ ograniczyæ iloœæ wy-
padków w miejscu pracy poprzez moni-
torowanie ruchów pracownika, oraz Co-
gniSense (Electronics Development),
które umo¿liwi¹ pod³¹czenie urz¹dzeñ
czu³ych na ruch bezpoœrednio do sieci
intranetowych lub Internetu, a nastêp-
nie sterowanie nimi z poziomu np. Net-
scape Navigatora.

Analog Devices zaprezentowa³o se-

riê nowych interfejsów RS-232. Uk-
³ady ADM101E, ADM3311E

oraz

ADM2209E s¹ zabezpieczone przed wy-
³adowaniami elektrostatycznymi siêgaj¹-
cymi 15kV. ADM101E to najmniejszy na
œwiecie pojedynczy nadajnik/odbiornik,
produkowany w obudowie 10-µSOIC,
umo¿liwiaj¹cy transfer z

prêdkoœci¹

230 kBps i zasilany pojedynczym napiê-
ciem 5 V. Pozosta³e uk³ady umo¿liwiaj¹
przy³¹czenie do 10 urz¹dzeñ, a ich trans-
fer to ponad 400 kBps.

National Semiconductor wprowadza

na rynek potrójny (RGB), monolityczny
sterownik lamp kineskopowych. LM2435
jest dopasowany do przedwzamcniaczy
wideo LM1279 i LM1282/83, posiada
wyprowadzenia zgodne z ca³¹ rodzin¹
LM243x, jest produkowany w obu-
dowach TO-220 i umo¿liwia uzyska-
nie obrazu w rozdzielczoœci 1280x1024
przy odœwie¿aniu 75 Hz.

National Semiconductor uruchamia

produkcjê 8-bitowych mikorkontrolerów
COP880C, które posiadj¹ niezwykle regu-
ralny, uwzglêdniaj¹cy operacje na licz-
bach BCD, zestaw instrukcji – wiêkszoœæ
rozkazów to kody jednobajtowe, a czas
wykonania ka¿dej instrukcji wynosi 1 µs.

Dallas Semiconductor przedstawi³

element umo¿liwiaj¹cy pomiar i przecho-
wywanie danych o temperaturze. Uk³ad
DS1615 zawiera cy-
frowy termometr,
interfejs szeregowy,
zegar czasu rzeczy-
wistego odporny na
problem Y2K, cyfrowy uk³ad steruj¹cy
oraz pamiêæ nieulotn¹ zdoln¹ zapamiêtaæ
2048 pomiarów. Dane przechowywane
s¹ zarówno w postaci normalnej listy, jak
i w postaci histogramu.

Texas Instruments oracowa³ techno-

logiê DSP o nazwie xStream, która znaj-
dzie zastosowanie w drukarkach lase-
rowych. Umo¿liwia
ona skrócenie o po-
³owê czasu druko-
wania komplekso-
wych dokumentów
zawieraj¹cych tekst
i grafikê. Chipset xStream w czasie rzeczy-
wistym t³umaczy dane otrzymywane
z komputera, przez co prêdkoœæ wydruku
jest ograniczona tylko mo¿liwoœciami me-
chanicznych czêœci drukarki.

Texas Instruments zaprezentowa³

dwa nowe zmiennoprzecinkowe proceso-
ry DSP. TMS320VC33 jest pierwszym
zmiennoprzecinkowym DSP w cenie
5 dolarów, TMS320C6711 kosztuje 20
dolarów. TMS320VC33 jest kompatybilny
z urz¹dzeniami
serii 'C3x, zre-
alizowany jest
w technologii
0,18 mikrona,
ma moc obli-
czeniow¹ 120
MFLOPS (milionów operacji zmienno-
przecinkowych na sekundê), a taktowany
jest zegarem 120 MHz. G³ówne przewi-
dywane zastosowania to systemy rozpo-
znawania mowy, procesory audio i sprzêt
rozrywkowy.

Firma LG Semiconductors uruchamia

masow¹ produkcjê nowych, 128
megabajtowych pamiêci DIMM, które
bêd¹ umieszczanych w opracowanych
przez LG obudowach BLP, co znacznie
obni¿y ich koszt, gdy¿ do tej pory prawa
patentowe na obudowy podobnych
typów posiada³a wy³¹cznie amerykañska
kompania StakTek, przez co koszt ka¿dego
uk³adu zwiêksza³ siê o oko³o 10 dolarów.

W tym miesi¹cu wprowadzamy nowy zwyczaj. W ka¿dym nume-
rze bêdziemy podawaæ adres polecanej przez nas strony. Oczywi-
œcie by³oby wielkim niedopatrzeniem, gdybyœmy zapomnieli
o w³asnym podwórku, wiec tym razem bêdzie to nasza strona. Je-
¿eli ktokolwiek z Was posiada w³asn¹ witrynê internetow¹ po-
œwiêcon¹ elektronice, niech napisze do nas. Godne zainteresowa-
nia zaprezentujemy w naszym dziale. Proponujemy, aby adres
podaæ na samym dole, pod ca³ym tekstem. Najlepiej oddzieliæ go
czymœ od ca³oœci, by nabra³ charakteru odrêbnej rubryki. Nad ad-
resem nale¿y daæ jakiœ tytu³, mo¿e to byæ np.„Witryna miesi¹ca”,
„Polecamy”, „Zajrzyj”, lub coœ w tym stylu.

Elektronika w Internecie

à

à Pawe³ Kowalczuk

à

à Marcin Witek

elin@pe.com.pl

background image

CZÊŒCI ELEKTRONICZNE

ul. Parkowa 25

51-616 Wroc³aw

tel. (071) 34-88-277
fax (071) 34-88-137

tel. kom. 0-90 398-646

e-mail: eprom@kurier.com.pl

Czynne od poniedzia³ku do
pi¹tku w godz. 9.00 - 15.00
Oferujemy Pañstwu bogaty wybór
elementów elektronicznych uzna-
nych (zachodnich) producentów bez-
poœrednio z naszego magazynu. Po-
siadamy w sprzeda¿y miêdzy inny-
mi:
PAMIÊCI EPROM, EEPROM, RAM
(S-RAM; D-RAM)
UK£ADY SCALONE SERII:
74LS..., 74HCT..., 74HC...,
C-MOS (40..., 45...).
MIKROPROCESORY, np.:80.., 82..,
Z80.., ICL71.., ATMEL89..,
UK£ADY PAL, GAL, WZMACNIACZE

OPERACYJNE, KOMPARATORY, TI-
MERY, TRANSOPTORY, KWARCE,
STABILIZATORY, TRANZYSTORY,
PODSTAWKI BLASZKOWE, PRECY-
ZYJNE, PLCC, LISTWY PIONOWE, LI-
STWY ZACISKOWE, PRZE£¥CZNIKI
SWITCH, Z£¥CZA, OBUDOWY
Z£¥CZ, HELITRYMY, LEDY, PRZEKA-
NIKI, GALANTERIA ELEKTRONICZ-
NA.

POSIADAMY TAK¯E W SPRZEDA¯Y
PODZESPO£Y KOMPUTEROWE:
NOWE I U¯YWANE (NA TELEFON)
P£YTY G£ÓWNE, PROCESORY, PA-
MIÊCI SIMM/DIMM, WENTYLATO-
RY, KARTY MUZYCZNE, KARTY VI-
DEO, MYSZY, FAX-MODEM-y,
FLOPP-y, DYSKI TWARDE, CD-
ROMy, KLAWIATURY, OBUDOWY,
ZASILACZE, G£OŒNIKI I INNE.
Programujemy EPROMy, FLASH/
EEPROMy, GALe, PALe, procesory
87.., 89.. oraz inne uk³ady progra-
mowalne.

Na ¿yczenie przeœlemy ofertê.
Mo¿liwoœæ sprzeda¿y wysy³kowej.

EPROM

Hurtownia:

ul. Kasprowicza 151, 01-949 Warszawa, tel. (0-22) 835 86 05, 835 88 05,
fax(0-22) 835 84 05, 833 86 17

Sklep Firmowy:

Warszawska Gie³da Elektroniczna, al. Niepodleg³oœci/Al. ArmiiLudowej,
Paw. 21, tel./fax: 825 91 00 wew. 122

OFERUJEMY W BARDZO SZEROKIM ASORTYMENCIE

OFERUJEMY W BARDZO SZEROKIM ASORTYMENCIE

1. "TECHTON", 41-605 Chorzów, ul. Styczyñskiego 1, tel. kom. 0-601-43-02-32 p. Krystian Gruszka; 2. P.P.U.H. "M-M Elektronik", 58-200

Dzier¿oniów, ul. Szkolna 5a, tel./fax (0-74) 31-14-67, p. Artur Micherda; 3."CEZAR" s.c., 80-264 Gdñsk-Wrzeszcz,ul.Grunwaldzka 136, tel./fax (0-58)

345-42-12, p. Cezary Tamkun; 4. P.H. "KWANT"s.c., 80-560 Gdañsk, ul. ¯aglowa 2, tel./fax (0-58)342-16-80, Andrzej Mróz; 5. "NAJ-ELEKTRONIK", 80-

142 Gdañsk, ul. Wieniawskiego 13b, tel./fax (0-58) 302-22-18, p. Janusz Najmowski; 6."ELMIS", 81-212 Gdynia, ul. Abrahama 71, tel./fax (0-58) 20-48-

82, p. Jacek Pilawski; 7. Firma Handlowo-Us³ugowo-Produkcyjna, 37-500 Jaros³aw, ul. Rynek 14, tel./fax (0-16) 621-37-41, p.Jan Walter; INTER-CHIP

s.c.,10-434 Olsztyn, ul. Ko³obrzeska 38, tel./fax (0-89)533-69-73, p. LEszek Chojnacki, 9. "Bo¿ena Przedsiêbiorstwo Wielobran¿owe, 26-600 Radom,

ul. Zientarskiego 2 p2, tel./fax (0-48) 344-93-33, p. Cezary St¹por; 10. "DORO" s.c., 76-200 S³upsk, ul. Wojska Polskiego 30, tel./fax (0-59) 42-30-98,

p. Jan Kopytowicz; 11. P.H.U. i P.R. "UNITRON", 58-100 Œwidnica, ul. Budowlana 4, tel./fax (0-74) 52-25-52, p. Tadeusz Grabowski

diody

optoelektronikê

cyfrowe uk³ady scalone

lampy elektronowe

kondensatory

potencjometry

helitrimy

rezystory mocy

termistory i warystory

koñcówki lutownicze

koñcówki samochodowe

koñcówki oczkowe

przewody pojedyncze

przewody wst¹¿kowe

przewody ekranowe

przewody TV-SAT

przewody g³oœnikowe

przewody sieciowe

druty

srebrzone

druty nawojowe

laminat na obwody drukowane

rurki kontaktronowe

przeka¿niki

elektromagnetyczne

mierniki analogowe

regulatory i detektory

radiatory

rdzenie kubkowe

transformatory i filtry

z³¹cza, gniazda i wtyki

rury termokurczliwe

bezpieczniki

zasilacze

silniki

¿arówki

kontrolki

podstawki

prze³¹czniki

³¹czniki

zaciski

spoiwa

z³¹czki

Z

Za

ad

dz

zw

wo

ñ ii z

za

am

ów

w c

ce

en

nn

niik

k

– w

wy

œlle

em

my

y g

go

o b

be

ez

zp

p³³a

attn

niie

e!!

SPRAWD SAM

– MAMY ZAWSZE

NAJNI¯SZE CENY


Document Outline


Wyszukiwarka

Podobne podstrony:
PE Nr 04 97
PE Nr 03 99
PE Nr 04 96
PE Nr 12 99
PE Nr 11 99
PE Nr 01 99
PE Nr 10 99
PE Nr 04 93
PE Nr 04 95
PE Nr 08 99
PE Nr 05 99
PE Nr 04 94
PE Nr 04 98
PE Nr 07 99
PE Nr 02 99
PE Nr 06 99
PE Nr 04 97
PE Nr 03 99

więcej podobnych podstron