Czarne orly sprawozdanie2

background image

Patrycja Grabowska
Weronika Hasslinger
Andrzej Jugowicz
Sławomir Kantor
Rafał Duda


Identyfikacja Układów Mechatronicznych

Laboratorium nr 2

Sprawozdanie

Prowadzący:
Łukasz Ambroziński

IMIR, Mechatronika,
Projektowanie
Mechatroniczne,
Gr. Czarne Orły

Temat:

Identyfikacja charakterystyki dyspersji

fal Lamba

Data zajęć:

26.03.2015r.

1.

Elementy stanowiska pomiarowego:
- aluminiowa płyta o grubości 4mm
- przetworniki piezoelektryczne
- komputer
- generator sygnału


W trakcie zajęć przeprowadzono trzy pomiary z których dwa pierwsze służyły skalibrowaniu
odczytywanych i generowanych danych, aby wykorzystać cały zakres karty pomiarowej.

2.

Wpółczynniki które przyjęto do optymalizacji pomiarów to 1/8 dla sygnału wyjściowego oraz
¼ dla sygnału wejściowego

3 . 0

background image

3. Przebieg czasowy zarejestrowanej odpowiedzi:

4.Widma sygnałów.

background image

5. Spektogram sygnału zmierzonego

6. WYkreślone na spektogramie krzywe dyspersji

background image

7. Implementacja STFT

function

[ tmp ] = spectrogr( signal, okno, overlap, N)

% SPECTROGR spektrogram sygnalu

% window - okno (wektor)

% overlap - wartosc (0; 1) na ile zachodza na siebie okna

% N - ilosc probek

signal_len = length(signal);
M = length(okno);
step = fix(M * overlap);

% zaokraglenie w dol

start = 1;
stop = M;
x = 1;

% STFT - short-time fourier transform

while

(stop <= signal_len)

s = signal(start : stop) .* okno;

a=fft(s, N);
a=abs(a).^2;
a=a(1:N/2);
tmp(x, :) = 20*log10(a);
start = start + step;
stop = start + M - 1;
x = x + 1;

end

figure(1);
imagesc(tmp);

end


Wyszukiwarka

Podobne podstrony:
Czarne orly sprawozdanie1
Sprawozdanie IUM 15 laboratorium Czarne Orły
Sprawozdanie IUM 15 laboratowium Czarne Orły
Sprawozdanie IUM 15 laboratorium Czarne Orły
Czarne orły Przegląd Powszechny 1937 04 t 214
Sprawozdanie IUM 15 laboratowium Czarne Orły2
2 definicje i sprawozdawczośćid 19489 ppt
PROCES PLANOWANIA BADANIA SPRAWOZDAN FINANSOWYC H
W 11 Sprawozdania
Wymogi, cechy i zadania sprawozdawczośći finansowej
Analiza sprawozdan finansowych w BGZ SA
W3 Sprawozdawczosc
1 Sprawozdanie techniczne
Karta sprawozdania cw 10
eksploracja lab03, Lista sprawozdaniowych bazy danych

więcej podobnych podstron