AVT2875cpld044





Projekty AVT
2875
2875
LogicMaster
LogicMaster
 płytka prototypowa dla CPLD
 płytka prototypowa dla CPLD
Chciałbym zaproponować Czytelnikom budo- jakich nie spotyka się w gotowych rozwią- Diody D2...D6 są prostym interfejsem
wę niezbyt skomplikowanej płytki testowej zaniach (np. odbiornik podczerwieni, prze- użytkownika. Dołączono je do portów wej-
dla układów CPLD. Pozwoli ona zapoznać kaznik, tranzystor dużej mocy, etc.). Drugi ścia-wyjścia za pomocą rezystorów R19,
się z układem programowalnym XC9572XL powód to kurs, którego pierwszy odcinek R23...R26, których zadaniem jest ograni-
firmy Xilinx. Wybór tego układu scalonego znajdzie się w następnym numerze EdW. czenie płynącego prądu. Diodę LED można
był podyktowany trzema bardzo ważnymi Będzie on oparty na opisanej płytce testowej włączyć poprzez wystawienie stanu niskiego
zaletami, jakie się z nim wiążą: dostępność i przedstawi sposób obsługi zawartych na niej na stosowny port.
w polskich sklepach internetowych (w iloś- elementów, posługiwanie się środowiskiem Do dyspozycji Czytelnika są również
ciach detalicznych), darmowe narzędzia i WebPACK ISE itp.  szczegóły za miesiąc, w dwa wyświetlacze 7-segmentowe. Jak łatwo
dobrze udokumentowany, prosty programator. pierwszym odcinku kursu. zauważyć, do obu wyświetlaczy doprowa-
Kombinacja tych trzech czynników pozwoliła Pozostaje mi zachęcić Czytelników do dzone są te same sygnały (a, b, c, d, e, f,
stworzyć, przy małym nakładzie środków, zapoznania się z przygotowanym materiałem, g, dp), które przez rezystory ograniczające
funkcjonalne urządzenie do pracy z CPLD. W który, mam nadzieję, utrzyma poziom dotych- są podłączone do układu programowalnego.
dalszej części artykułu przedstawię budowę czasowych tego typu publikacji  będzie Konstrukcja urządzenia jest tak pomyślana,
i sposób działania prezentowanego modu- przystępny i pokaże praktyczne aspekty zwią- że dla danej kombinacji tych sygnałów na
łu, aby Czytelnicy mogli prowadzić własne zane z CPLD. obu wyświetlaczach pojawia się identyczny
eksperymenty. Dużym ułatwieniem będzie symbol. Pozwala to zaoszczędzić sporo pracy,
na pewno integracja programatora. Wgranie Budowa płytki prototypowej gdyż nie ma potrzeby definiowania dwóch
własnego oprogramowania ograniczy się Schemat płytki prototypowej pokazany jest różnych zestawów kodów do wyświetlania
jedynie do podłączenia komputera przez stan- na rysunku 1. Najważniejszym elementem cyfr. Gdyby połączenia były doprowadzone
dardowy port LPT (potrzebny będzie przewód jest układ programowalny U8. Ma on 72 do wyświetlacza w różny sposób, to zaświe-
dostępny w sklepach komputerowych). makrokomórki logiczne, co przekłada się na cenie np. segmentu a w pierwszym powo-
Urządzenie łączy w sobie zalety symula- około 1600 bramek logicznych. Zasoby te dowałoby zaświecenie np. segmentu dp w
tora obwodów elektronicznych (rysowanie są wystarczające do przygotowania niezbyt drugim. Nie oznacza to jednak, że na obu
połączeń, biblioteka elementów, możliwość złożonych projektów i całkowicie wystarczą wyświetlaczach trzeba wyświetlać zawsze to
bezproblemowej modyfikacji) z zestawem na potrzeby niniejszego kursu. samo. Do układu programowalnego doprowa-
uruchomieniowym, pozwalającym obejrzeć Urządzenie jest zasilane przez złącze dzone są sygnały T1 oraz T2 sterujące włącze-
efekty pracy w rzeczywistości. Daje to nie- Z2 napięciem stabilizowanym 12V, które niem danego wyświetlacza. Dzięki obecności
ograniczone możliwości budowania i urucha- jest wymagane do zasilenia przekaznika. prostych kluczy złożonych z tranzystorów T1
miania własnych projektów na zaproponowa- Konieczne jest dalsze jego obniżenie, tak aby i T2 można włączać i wyłączać oba wyświet-
nej platformie sprzętowej. uzyskać napięcie 3,3V, niezbędne dla układu lacze niezależnie. Chcąc wyświetlić różne
Być może u niektórych pojawi się nurtują- programowalnego. Dokonuje tego scalony cyfry na wyświetlaczach, można włączyć
ce pytanie: po co właściwie budować kolejny stabilizator U5. Niestety niektóre podzespoły pierwszy tranzystor sterujący WYS1, podać
moduł uruchomieniowy, skoro można bez wymagają napięcia 5V, więc konieczny był kod żądanej cyfry na linie sterujące (a...dp),
problemu znalezć kilka propozycji w skle- dodatkowy stabilizator U4. Dioda D7 stanowi odczekać chwilę, wyłączyć WYS1, zmienić
pach internetowych? Jednym z powodów jest zabezpieczenie części cyfrowej przed odwrot- kombinację sygnałów sterujących na odpo-
możliwość dostosowania takiej konstrukcji do ną polaryzacją, która mogłaby prowadzić do wiadającą drugiej cyfrze i włączyć WYS2.
własnych potrzeb i dodanie wielu elementów, zniszczenia urządzenia. Po chwili proces ten zaczyna się od początku,
Sierpień 2008
S
i
e
r
p
i
e
Å„
2
0
0
8
El ekt roni ka dl a Wszyst ki ch
19
Projekty AVT
+3.3V
+3.3V
R15 1k
D1 1N4007 +5V T1 T1
R4 150 R18 1k
BC557 T2
T2
+5V
WYS1 7SEG
BC557
R5
C18
WYS2 7SEG
a
1k a a COM
100n
b a
b a a COM
U1E c +3.3V b +3.3V
c f b b
74HC125 d c
g
R1 330 R2 150 d c f b
9 8 TDI e d
g
e d
C19 C20
J1
f e
e c
f e
C29 100n 100n
1 10 g f
e c
g d f
100p
14 dp g
dp
dp g d
2 U1D dp
dp
dp
15 74HC125
R6 330 R11 150
3 12 11 TCK +3.3V +3.3V +3.3V
16
D2 LED
C30
4 13 LED1
R14 R16 R17 +3.3V
100p
17
R7 330 4.7k 4.7k 4.7k R19 470
5 U1C
18 74HC125 S1
D3 LED
R8 330 R41 150
6 5 6 TMS S1 LED2
+3.3V
19
R23 470
C31
7 4 +5V S2
100p
20 S2
D4 LED
8 U2E LED3
R3 +3.3V
21 74HC125 S3
5.1k R24 470
9 9 8 S3
R13 150
22 TDO
D5 LED
R9 330
10 10 LED4
+3.3V
C32
23 +12V
R25 470
100p
11 +12V
24
D6 LED
Z1
R21
12 U2B LED5
+3.3V
C21 4.7k
25 74HC125
R10 47 R26 470
100n
13 3 2
R22 100 T4
+3.3V +3.3V +3.3V
IRF840
1
DB25
R20 1k
U1A U2A MOSFET +3.3V
T3
C22 C23 C24
74HC125 +5V 74HC125 +5V BC547
100n 100n 100n
U2D U2C U1B
U8
74HC125 74HC125 74HC125 +5V 14 +5V 14
XC9572XL
12 11 5 6 2 3
C8 C11
13 4 1 7 7 SYNC 5 18 LED1
I/O/GCK1 I/O
100n 100n
CLK_FR 6 19 IRED
I/O/GCK2 I/O
CLK 7 20 LED2
I/O/GCK3 I/O
SEG_G 39 22 LED3
I/O/GSR I/O
40 24 LED4
D7
U4 U5
I/O/GTS2 I/O
+12V +5V +3.3V T1 42 25
1N4007
LM7805 SPX1117
I/O/GTS1 I/O
26 LED5
IN OUT IN OUT
Z2 I/O
GND GND 27 S3
+12V C5 C6 C7 C9 C10 I/O
GND 28 S2
I/O
C12 14 29 S1
I/O I/O
47u 100n 47u 100n
47u 100n 13 33 SEG_C
I/O I/O
12 34 SEG_DP
I/O I/O
11 35 SEG_E
R33 470SEG_A SFH I/O I/O
a 9 36 SEG_D
PR1 I/O I/O
+5V 8 37 SEG_A
100k R34 470SEG_B MOSFET I/O I/O
b 4 38 SEG_B
I/O I/O
+5V 3 43
C1 R35 470SEG_C REL I/O I/O
C2
c 2 44 T2
10u I/O I/O
100n
1
R12 R27 R36 470SEG_D SEG_F I/O
+5V d +12V
330 330
1 8
GND VCC R37 470SEG_E
2 7 e
TRIG D
REL1 C25
CLK 3 6 +12V
OUT T R38 470SEG_F RM085
100n
4 5 f
+5V RES CV
C3 C4 R39 470SEG_G Z3
D9
g
U3 NE555
10u
U6
10n 1N4007
R40 470SEG_DP SFH5110
dp
SFH REL
T5
R31 1k BC547
+5V +5V +3.3V
+5V
GEN1
R30 220
OSCYLATOR
+5V R29 +3.3V
R32
C14 C28
C13
FR C17 IRED
100n C27 100n
10u
4.7u
330
R28 100n
D8 IRED
+5V SYNC
CLK
330
1 8
GND VCC
2 7
TRIG D
CLK_FR 3 6 +5V
OUT T
4 5
+5V RES CV
C15 C16 C26
U7 NE555
10u
10n 100n
Rys. 1
tzn. od wystawienia kodu pierwszej cyfry i i sterować jego pracą. W założeniu ma to Po pierwsze, konieczny jest rezystor, który
włączenia WYS1. Taki sposób sterowania być mała wiertarka modelarska, którą być ograniczy prąd bramki. Co prawda tranzy-
wyświetlaczem nazywa się sterowaniem mul- może Czytelnik stosuje do wykonywania story MOSFET są sterowane napięciem,
tipleksowanym. otworów w płytkach drukowanych. W dal- jednakże bramka oddzielona jest od podłoża
Na interfejs użytkownika składają się także szej części kursu będzie lekcja poświęco- cienką warstwą izolatora i w efekcie tworzy
przyciski S1, S2, S3, które po naciśnięciu podają na budowie generatora PWM (modulacja się kondensator o stosunkowo dużej pojem-
na port I/O stan niski. Przycisk puszczony wymu- szerokości impulsu), dzięki czemu będzie ności (1,3nF). W momencie zmiany stanu
sza na porcie stan wysoki ze względu na obec- możliwe sterowanie prędkością obrotową na bramce należy go przeładować, czemu
ność rezystorów podciągających R14, R16, R17. takiej wiertarki. Warto zauważyć, że bramka towarzyszy duży impuls prądowy mogący
Do złącza Z1 będzie można podłą- tranzystora T4 nie może być bezpośrednio prowadzić do uszkodzenia układu  stąd
czyć urządzenie zasilane napięciem 12V podłączona do układu programowalnego. obecność rezystora R22.
Sierpień 2008
S
i
e
r
p
i
e
Å„
2
0
0
8
El ekt roni ka dl a Wszyst ki ch
20
21
41
32
VCC_IO
VCC_INT
VCC_INT
TDI
TMS
TDO
TCK
GND
GND
GND
10
23
31
TDI
15
TMS
16
TDO
30
TCK
17
OUT
Vcc
GND
3
2
1
GND
+5V
Projekty AVT
Napięcie pełnego otwarcia tranzystora sji napięcia, który dostosuje je do poziomu, Na schemacie, oprócz omówionych powy-
MOSFET może sięgnąć 4V, a układ programo- jakim zasilany jest układ cyfrowy. W tym żej elementów, znajduje się jeszcze moduł
walny dostarcza jedynie 3,3V. W niektórych przypadku nie było to konieczne, gdyż produ- otoczony przerywanymi kreskami. Nietrudno
wypadkach układ działałby bez problemu, a cent, czyli firma Xilinx, wbudował stosowne się domyślić, że jest to programator JTAG
w innych nie. Kupowanie kilku tranzystorów zabezpieczenia w układ CPLD, dzięki czemu zintegrowany z płytką prototypową. Dzięki
i wybieranie odpowiedniego mija się z celem, akceptuje on sygnały o napięciu 5V. integracji tego układu, programowanie CPLD
więc konieczne było inne rozwiązanie. Jest Do układu programowalnego dołączony sprowadza się do uruchomienia programu
nim tranzystor bipolarny T3. Kiedy jest on jest sygnał CLK, pochodzący od układu U3, iMPACT (omówiony zostanie pózniej) i prze-
zatkany, bramka T4 jest podciągana do +12V którym jest popularna kostka NE555. Pracuje słania pliku konfiguracyjnego przez przewód
przez rezystory R21 i R22. Napięcie jest ona w typowej konfiguracji i pełni rolę prze- LPT (standardowy przewód). Płytkę proto-
większe od granicznej wartości 4V, więc tran- strajanego generatora sygnału prostokątnego. typową można wykorzystać w przyszłości
zystor jest w pełni otwarty. Po wprowadzeniu Umożliwia ustawienie częstotliwości wyjścio- również jako programator  po umieszczeniu
T3 w stan nasycenia (stan wysoki na porcie wej w zakresie od około 1Hz do 100Hz układu XC9536XL lub XC9572XL w pod-
wyjściowym dołączonym do R20) następuje za pomocą potencjometru PR1. Rozwiązanie stawce można wgrać program i przełożyć
dołączenie masy do rezystorów R21 i R22. takie pozwoli np. taktować liczniki sygnałem o układ do innego urządzenia. W oparciu o frag-
Bramka T4 jest dołączona do masy poprzez niskiej częstotliwości i obserwować ich pracę. ment zaznaczony przerywaną kreską można
rezystor R22 i następuje zatkanie tranzystora Na schemacie znajduje się jeszcze jeden wykonać płytkę drukowaną i stworzyć dedy-
MOSFET. układ NE555, oznaczony symbolem U7. kowany programator do swoich opracowań.
Kolejnym modułem zaimplementowanym Pracuje on w identycznej konfiguracji, z tą Jego zaletą będzie możliwość programowania
na płytce prototypowej jest przekaznik REL1 różnicą, że częstotliwość sygnału prostokąt- układów CPLD w systemie.
służący do włączania i wyłączania np. żarów- nego zmienia się wraz ze zmianą rezystancji W tym miejscu pragnę wspomnieć, że
ki. Pozwoli to w przyszłości zbudować pro- fotorezystora R29. Otrzymano w ten pro- zaznaczony fragment nie jest moim opraco-
sty układ automatyki do sterowania światła. sty sposób możliwość pomiaru oświetlenia waniem i pochodzi z materiałów firmy Xilinx
Wysterowanie przekaznika również nie jest zewnętrznego. Jest to drugi element (po prze- (JTAG Parallel Download Cable). Moja rola
możliwe bezpośrednio z portu układu progra- kazniku), który będzie wymagany do imple- sprowadziła się w tym miejscu do przeryso-
mowalnego, więc konieczne było zastosowa- mentacji prostego sterownika oświetlenia. wania tego schematu i zaprojektowania do
nie tranzystora T5 w roli klucza. Zamiast obchodzenia problemu pomiaru niego druku.
Na płytce obecny jest moduł toru pod- oświetlenia przez wykorzystanie układu U7,
czerwieni, który pozwoli wykonać takie urzą- można byłoby wstawić przetwornik ADC, jed- Testowanie
dzenia, jak bariera podczerwieni czy licz- nakże uznałem, że proste rozwiązanie z NE555 poprawności montażu
nik przechodzących osób. Dioda nadawcza będzie w zupełności wystarczające. Uniknięto w Układ można zmontować na płytce drukowa-
podczerwieni D8 umożliwi wysyłanie wiązki ten sposób niepotrzebnej komplikacji płytki dru- nej pokazanej na rysunku 2.
podczerwieni, która będzie następnie odbie- kowanej oraz podniesienia kosztu urządzenia. Przed przystąpieniem do wykonywania ćwi-
rana przez scalony odbiornik typu SFH (U6). Wszystkie sygnały zegarowe (CLK, CLK_ czeń, które rozpoczną się w przyszłym mie-
Rezystor R32 ogranicza prąd płynący przez FR, SYNC) zostały doprowadzone do wejść siącu, należy bardzo dokładnie przetesto-
diodę, aby uszkodzeniu nie uległ port ani sama GCKn, które są przeznaczone do tego celu. wać urządzenie. Jeżeli na dalszym etapie
dioda IR. Elementy R30, C17, C27 tworzÄ… pro-
Rys. 2
sty filtr zapobiegajÄ…cy prze-
dostawaniu się zakłóceń do
odbiornika podczerwieni
po szynie zasilania.
Układ programowalny z
natury rzeczy jest synchro-
niczny, więc w wielu przy-
padkach do jego poprawnej
pracy będzie potrzebny syg-
nał zegarowy. Dodatkowo
konieczne będzie wyge-
nerowanie sygnału 36kHz
do sterowania diodÄ… IR.
Do tego celu zastosowa-
no generator kwarcowy
GEN1. Zapewnia on syg-
nał synchronizacyjny i po
podzieleniu przez dzielniki
utworzone wewnątrz ukła-
du programowalnego moż-
liwe będzie wysterowanie
diody IR. Generator ten
musi być zasilany napię-
ciem 5V, podczas gdy
układ CPLD zasilany jest z
napięcia 3,3V. W normal-
nym przypadku konieczne
jest użycie układu konwer-
Sierpień 2008
S
i
e
r
p
i
e
Å„
2
0
0
8
El ekt roni ka dl a Wszyst ki ch
21
21
Projekty AVT
CB4CE
pojawią się błędy, będziesz miał wanego na U7, więc po
Q0
VCC Q1 T1
Czytelniku, pewność, że wynikają osłonięciu ręką fotorezy-
Q2
Generator kwarcowy, wySwietlacze, generator przestrajany
Q3
CE CEO T2
one z błędów w implementacji, stora lub silniejszym jego
CLK_GEN >C TC
INV
CLR
IRED OUT
I
R
E
D
O
U
T
BUF
a nie z powodów czysto sprzęto- oświetleniu (np. lamp-
CB4CE CB4CE CB4CE CB4CE
AND2
Q0 Q0 Q0 Q0
wych. Jeżeli zaniedbasz sprawdze- ką) powinna się zmienić.
VCC Q1 VCC Q1 VCC Q1 VCC Q1
Q2 Q2 Q2 Q2
nie poprawności montażu, takiej Q3 Q3 Q3 Q3 Jeżeli tak się nie dzieje
CE CEO CE CEO CE CEO CE CEO SFH dp
>C TC >C TC >C TC >C TC
BUF
CLR CLR CLR CLR
gwarancji nie będzie i ustalenie, (lub diody w ogóle się nie
BUF
AND2
co jest odpowiedzialne za błędy w zapalają), to należy spraw-
Przycisk S3, przekaxnik
INV
S3 REL
pracy (sprzęt czy implementacja), dzić czy, generator pracu-
INV
FD je (np. podłączając diodę
będzie znacznie trudniejsze i cza- Przycisk S2, MOSFET
XOR2
LED1 D Q
WySwietlacz 7-seg.
S2 MOSFET
sochłonne. LED z rezystorem szerego-
CLK >C
BUF
XOR2
BUF
Przed rozpoczęciem testowania LED2 wym 1k do wyprowadze-
CB4CE D3_8E CB4CE dekoder
INV
Q0 A0 D0 Q0 x0 a a
należy zastanowić się w jaki spo- nia 3 układu U7 oraz masy
XOR2
VCC Q1 A1 D1 VCC Q1 b b
LED3
Q2 A2 D2 Q2 x2 c c
d
sób wgrać test do układu CPLD. Q3 D3 Q3 d  dioda powinna migać).
FD
CE CEO VCC D4 CE CEO x1 e e
XOR2
D Q >C TC D5 >C TC f f
CLR LED4 CLR
W tym odcinku pominięta zostanie Jeżeli generator pracuje, a
D6 x3 g g
CLK FR >C E D7
C
L
K
F
R
kwestia, JAK zbudować taki test, XOR2 nie zmienia się częstotli-
LED5
gdyż wykorzystamy gotowy test wość, to trzeba sprawdzić,
Diody LED, generator z fotorezystorem
S1
dostępny na Elportalu (aczkolwiek czy nie ma zimnych lutów
Rys. 3
niecierpliwi i ambitni Czytelnicy przy R29 i czy jego rezy-
Rys. 4
znajdą tam również pliki zródłowe stancja ulega zmianie (po
testu, które można otworzyć w odłączeniu zasilania nale-
środowisku WebPACK ISE, obej- ży podłączyć omomierz).
rzeć, zmienić itp.). Implementacją Przy braku oświetlenia
projektów zajmować się będziemy rezystancja powinna być
w kolejnych częściach. Schemat w granicach 50k.
tego testu widać na rysunku 3. Po naciśnięciu S1 stan
Wgrywanie testu rozpocznijmy diod LED powinien ulec
od wybrania Start->Programy- zanegowaniu, tzn. tylko
>Xilinx ISE 6->Accessories- jedna dioda powinna być
>iMPACT. Uruchomiony zostanie wyłączona, a pozostałe
program widoczny na rysunku świecić. Po puszczeniu
4. Zanim zaczniesz klikać Dalej, przycisku ponownie tylko
upewnij się, że płytka prototypo- jedna dioda się świeci.
wa jest zasilana napięciem +12V Gdy tak się nie dzieje,
i jest podłączona do portu LPT należy sprawdzić, czy na
za pomocÄ… stosownego przewodu. przycisku S1 jest masa
Kiedy już tak będzie, klikaj Dalej oraz czy w miejscu łącze-
w kolejnych czterech okienkach. nia rezystora R14 z S1
Jeżeli na płytce nie ma błędów stan zmienia się między
montażowych, w podstawce znaj- 0V a 3,3V.
duje się układ CPLD, układ jest Następnym krokiem jest
zasilany i podłączony do portu, sprawdzenie działania toru
to powinien ukazać się znalezio- podczerwieni, czyli diody
ny przez program układ (rysunek nadawczej IR i odbior-
5). Klikając dwukrotnie na ikon- nika SFH5110. Jeżeli tor
ce układu CPLD, otworzy okno pracuje prawidłowo, tzn.
wyboru pliku, w którym należy następuje przejście sygna-
odnalezć pobrany uprzednio z łu od diody do odbiornika
Elportalu plik z testem (test_pcb. z częstotliwością 36kHz,
jed) i wybrać z menu Operations to na wyświetlaczach 7-
pozycję Program. W otwartym segmentowych włączona
oknie zaznaczamy jedynie Erase zostanie kropka. Wielce
Before Programming i klikamy prawdopodobne jest, iż
OK. Test zostanie załadowany. kropka będzie włączona
Prześledzmy teraz pracę poszcze- cały czas, należy nasunąć
gólnych bloków razem z sugestia- na diodę IR kawałek czar-
mi, co może być uszkodzone, jeśli nej rurki termokurczliwej
nie pracują, tak jak powinny.  wtedy kropka zgaśnie.
Zacznijmy od diod LED. Po Zbliżenie ręki do wylotu
Rys. 5
zakończeniu programowania diody rurki sprawi, że wiązka
powinny zaświecać się kolejno, światła ulegnie odbiciu,
tzn. najpierw pierwsza, potem druga, trzecia, na płytce. Możliwe również, że przy rezysto- trafi na odbiornik i w efekcie włączy się krop-
czwarta, piąta i znowu pierwsza. Jeżeli któraś rach występuje zimny lut  trzeba to sprawdzić ka  tak wygląda prawidłowa praca toru pod-
z diod się nie świeci (lub jest włączona cały omomierzem. Szybkość przeskakiwania jest czerwieni. Jeżeli kropka na wyświetlaczu w
czas), to należy poszukać przerwy lub zwarcia zależna od częstotliwości generatora zbudo- ogóle się nie włącza, poszukiwania przyczyny
Sierpień 2008
S
i
e
r
p
i
e
Å„
2
0
0
8
El ekt roni ka dl a Wszyst ki ch
22
Projekty AVT
należy zacząć od podania na odbiornik wiązki storach R33...R40
podczerwieni z dowolnego pilota i obserwacji oraz przy samych
kropki  jeżeli zostanie włączona, to odbiornik wyświ et l aczach.
pracuje prawidłowo, jeżeli nie  należy poszu- Jeżeli nie włącza się
kać błędów na płytce (zimne luty, przerwy, jeden z wyświetla-
etc.) lub w ostateczności wymienić odbiornik. czy, odpowiedzialny
Przyczyna może również tkwić w ścieżce za to jest uszkodzony
łączącej układ programowalny z segmentem tranzystor, przerwa
kropki w wyświetlaczu. Sam odbiornik można na ścieżkach, lub
sprawdzić za pomocą diody LED z rezystorem generator kwarco-
1k, przyłączając je do +5V oraz wyjścia ukła- wy, który odpowia-
du. UWAGA!!! Nie należy stosować odpo- da za przełączanie
wiedników układu odbiorczego podczerwieni wyświetlaczy. Jednak
(np. TSOP1736), gdyż mają one inny rozkład jego działanie zosta-
wyprowadzeń. Chyba że Czytelnik uwzględni ło sprawdzone przed
te różnice przy wlutowywaniu układu  po chwilą. Działanie
szczegóły odsyłam do noty katalogowej. tranzystora najłatwiej
Po stwierdzeniu, że to nie odbiornik jest jest sprawdzić łącząc
winny, pozostają następujące ewentualno- rezystorem 1k bazę
ści: błędy montażowe diody LED (zwarcia, tranzystora do masy  powinien się wtedy Sprawdzenie tranzystora MOSFET wyglą-
przerwy na druku, zimny lut albo odwrotnie włączyć dołączony do niego wyświetlacz. da podobnie. Zaczynamy od podłączenia wol-
wlutowana dioda) lub uszkodzony generator Sprawdzenie przekaznika jest bardzo proste tomierza do złącza Z1 i sprawdzenia, czy
kwarcowy. Generator kwarcowy jest wyko- i sprowadza się do wciśnięcia przycisku S3 następuje zmiana napięcia z 0V na 12V po
rzystywany również do obsługi wyświetlaczy,  powinno być słychać jego przełączenie. Dla naciśnięciu przycisku S2. Jeżeli nie  pozostaje
więc poprawność jego pracy można ocenić pewności można posłużyć się omomierzem i wyeliminować przyczyny takie jak zimne luty,
także na podstawie następnego testu. Jeżeli nie sprawdzić, czy odpowiednie zestyki są zwie- przerwy etc. Jeżeli nie uda się w ten sposób zna-
jest winny generator, pozostaje sprawdzić, czy rane i rozwierane po puszczeniu przycisku. W lezć błędu, pozostaje sprawdzenie tranzysto-
dioda nie została wlutowana odwrotnie, czy przypadku nieprawidłowości tok postępowania rów, zaczynając od MOSFET-a. Pozostawiając
nie pomylono rezystora R32 lub w ostateczno- jest standardowy  sprawdzenie płytki pod dołączony woltomierz do złącza Z1, należy
ści wymienić diodę IR. kątem przerwanych ścieżek, zimnych lutów dołączyć bramkę T4 do +12V a potem do masy
Kolejny test sprowadza siÄ™ do obserwacji itp. Należy sprawdzić również podciÄ…ganie poprzez rezystor 100© i obserwować zmianÄ™
wyświetlaczy 7-segmentowych. Po urucho- do plusa portu 27. Przyczyną uszkodzenia napięcia wskazywaną przez woltomierz. Jeżeli
mieniu układu wskazania na obu wyświetla- może być również tranzystor  po dołączeniu taka zmiana nie nastąpi, pozostaje wymiana
czach powinny być identyczne i zmieniać się jego bazy do +3,3V przez rezystor 1k powin- tranzystora MOSFET na sprawny. Należy się
od 0 do 9. Należy zwrócić uwagę, czy świecą no nastąpić przełączenie przekaznika. Warto też upewnić, czy wlutowany został właściwy
się wszystkie segmenty oraz czy któryś nie również zmierzyć napięcie  na jednym z typ tranzystora. Po stwierdzeniu, że T4 pra-
jest włączony na stałe. Jeżeli wyświetlacz nie wyprowadzeń cewki przekaznika musi być cuje prawidłowo, należy sprawdzić działanie
pracuje zgodnie z tym opisem, pozostaje skon- napięcie +12V. T3, dołączając jego bazę do +3,3V oraz masy
trolować, czy nie ma zimnych lutów przy rezy- poprzez rezystor 1k i obserwować napięcie
na woltomierzu. Gdy nie ulega ono zmianie
Półprzewodniki
Wykaz elementów  tranzystor jest do wymiany.
D1,D7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1N4007
Na koniec pozostaje mi wspomnieć o pew-
Rezystory D2-D6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .LED
nej zastanawiającej rzeczy, jaka pojawiła się
R1,R6,R7-R9,R12,R27,R28,R32 330© (0805) D8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . IRED
na etapie uruchamiania prototypu niniejszej
R3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5,1k© (0805) D9 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1N4007
płytki testowej. Mianowicie z nieznanych mi
R4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150© (0805) T1,T2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .BC557
powodów port 40 oraz 43 nie pracowały pra-
R5,R15,R18 . . . . . . . . . . . . . . . . . . . . . . . 1k© (0805) T3,T5. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .BC547
widłowo, tzn. nie dawało się na nich wymusić
R10 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47© (0805) T4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . IRF840
logicznego zera. Można podejrzewać, że układ
R14,R16,R17,R21 . . . . . . . . . . . . . . . . . 4,7k© (0805) U1,U2 . . . . . . . . . . . . . . . . . .74HC125 (SMD - SO14)
CPLD uległ uszkodzeniu. Zastanawiające jest
R19,R23, R24-R26,R33-R40 470© (0805) U3,U7 . . . . . . . . . . . . . . . . . . . . . NE555 (SMD - SO8)
jednakże, iż drugi egzemplarz zachowywał się
R2,R11,R13,R41 . . . . . . . . . . . . . . . . . . . . . . . . 150© U4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM7805
identycznie... Jak wspomniałem, nie udało mi
R20,R31 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1k© U5 . . . . . . . . . . . . . . . . . . . . . . . . . SPX1117u330617L
się ustalić przyczyny tego stanu rzeczy pomi-
R22 . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100© (0805) U6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .SFH5110
mo zapytań na forum firmy Xilinx. Pozostało
R29 . . . . . . . . . . . . . . . . . . . . . . . . . . FR 16k©...33k© U8 . . . . . . . . . . . . . . . . . . . . . . . .XC9572XL (PLCC44)
mi jedynie obejść ten problem, co sprowadziło
R30 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220© WYS1,WYS2 . . . . . . . . . . . . .wyÅ›w. 7-seg. wsp. anoda
się do podłączenia T1 oraz segmentu F do
PR1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .PR 100k© PozostaÅ‚e
innych wyprowadzeń. Stąd moja sugestia:
Kondensatory GEN1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24MHz
jeżeli zdarzyłoby się tak, że pomimo bardzo
C1,C4,C13,C16 . . . . . . . . . . . . . . . . . . . . . . . . . .10µF J1 . . . . . . . . . . . . . . . . . . . . . . . . . .DB25 M, do druku
starannej kontroli nie uda się zmusić układu do
C17 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4,7µF REL1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RM085
pracy, jest na to prosta i brutalna rada: przeciąć
C2,C6,C8,C9,C11,C12,C14,C18-C28 100nF (0805) S1-S3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .uSwitch
ścieżkę i dolutować ją za pomocą przewodu do
C29-C32 . . . . . . . . . . . . . . . . . . . . . . . . 100pF (0805) Z1,Z2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .ARK2
innego, wolnego portu. Mam nadzieję, że nie
C3,C15 . . . . . . . . . . . . . . . . . . . . . . . . . . 10nF (0805) Z3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .ARK3
będzie to jednak konieczne...
C5,C7,C10. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .47µF Podstawka PLCC44
Komplet podzespołów z płytką jest dostępny w sieci handlowej AVT
Jakub Borzdyński
jako kit szkolny AVT-2875
jakub.borzdynski@elportal.pl
Sierpień 2008
S
i
e
r
p
i
e
Å„
2
0
0
8
El ekt roni ka dl a Wszyst ki ch
23
23


Wyszukiwarka