MG 98

MG 98



Automat — kodowanie stanów <«


morary leee;

use leetstri_loglę_U64.all;


entfty fan_control Is port(

dk    :    In    stdjogic;

rst    :    In    sMJogię

c    :    In    śtdjoglc;

w    :    out std_toglc_vector (2 downto 1)

*

end fan_control;

archltecture datajlow of fan_control is

constant : std_logię_vectof (2 downto 0) :■ "001*;    '

constant s2 : striJogte_vector (2 downto 0) := *010*; constant s3 : std_log<.vector (2 downto 0)*100*; constant s4 : std_loglc_vedor (2 downto 0)*111*; signai state_reg, state_next: std_loglc_vector (2 downto begln

process (dk, rst) begin

rrst-Tthen state.reg <» sl; ełsif rising_edge(dk) then state_reg <■ state_next; end V; end process;


Bezpośrednie przypisanie kodowania stanom automatu poprzez podanie wartości kodowej i wykorzystanie typu 'etd_k>glc_vector'


Sygnały 'state_reg' i ‘state_nexl muszą być typu 'stdJogic_vector' o takiej liczbie bitów jak stale reprezentujące stany


Mariusz Rawski


Liczniki



Wyszukiwarka

Podobne podstrony:
MG 99 Automat - kodowanie stanów m Manusz Rawski
MG&04 t/hn t StftJFt; ~ ■ Realizacja pamięci RAM process llbrary leee; use ieee.stdJoglc_1164.all;
MG 88 I__ Mariusz Rawsld Hbrary library ieee; use ieee.stdJ(^<Ln64.a!l; entity latchjnodule
Automated measurements with ready-to-use test templates OTA measurements - SISO and MIMO T
7 Przemysłowe Systemy Automatyki - 12. Stanowisko badawcze Stanowisko laboratoryjne (rys. 9) składa
13307 WP 1412227 Etap I - kodowanie stanów, sygnałów wejściowych 1 wyjść Przypniemy li stanom (5*s„
Image0003 98 Oświecenie moment stanowU^ry o tstocleJllo/.ąfll stosunek? Ponadto: Jeśli daje się on r
IMG 98 (7) cosis fungoides Stanowi 55-60% wszystkich chorych na PCIIS Stosunkowo łagodny przebieg, p
MG 66 Tryby portu library ieee; use ieee.std_logic_1164.all; a entity port_mode is port( a, b : in
MG&00 Automaty •    Automat FSM (Finite State Machinę) opisywany jest zazwyczaj

więcej podobnych podstron