Wielokanałowy stałoprądowy układ zasilania zespołów LED dużej mocy


Marian GILEWSKI
Politechnika Bia ostocka, Wydzia Elektryczny, Katedra Automatyki i Elektroniki
Wielokana owy, sta opr dowy uk ad zasilania zespo ów LED
du ej mocy
Streszczenie. W publikacji przedstawiono autorsk koncepcj wielokana owego uk adu zasilania zespo u LED du ej mocy. Zaproponowano
zastosowanie cyfrowej metody regulacji nat e pr dów poszczególnych elementów. Proponowany uk ad zawiera: elementy optoizolacji, uk ady
konwersji cyfrowych sygna ów steruj cych na odpowiadaj c warto ci napi sta ych oraz wyj ciowe wzmacniacze pr dowe zasilaj ce elementy
LED. W pracy zawarto wybrane charakterystyki pomiarowe, przedstawiono schemat jednego kana u oraz porównano z podobnymi rozwi zaniami.
Abstract. In this work is described an idea of DC multichannel driver of power LEDs. The main point of the solution is digital control method of LED
constant currents. LEDs currents can be adjustable from 100 mA to 1000 mA of every channel. A scheme of realization the driver, laboratory tests
and a comparison with similar circuits are included too. The driver can be an alternative to PWM based drivers in many fields of power LEDs
applications. (A multichannel DC driver of power LEDs).
S owa kluczowe: zasilacze zespo ów LED, sterowanie LED du ej mocy, cyfrowa regulacja wiat a LED, przetwornik f/U.
Keywords: LEDs drivers, driving of power LEDs, digital control of LED light, frequency to voltage converter.
Wprowadzenie wymaga dodatkowego zastosowania trzech pojemno ci
Zbiór wspó cze nie stosowanych metod i dost pnych zewn trznych, pozwala ona na uzyskanie pr du
aplikacji uk adów zasilaj cych LED jest bardzo obszerny. zasilaj cego LED o nat eniu do oko o 150 mA. W
Obejmuje on zarówno [1] rozwi zania sta opr dowe jak wielokana owych uk adach zasilaj cych diody
równie metody oraz uk ady zasilania impulsowego. W elektroluminescencyjne w ka dym torze nale y zastosowa
wielu zastosowaniach o wietleniowych i badawczych niezale ny uk ad sterowania.
sterowanie impulsowe oparte na metodzie PWM,
wytwarzaj ce promieniowanie pulsuj ce, jest
niedopuszczalne. Mi dzy innymi z tego powodu ostatnio
daje si zauwa y powrót i systematyczny rozwój
sta opr dowych sterowników LED. W tej klasie uk adów
mo na wyró ni : sterowniki o technologicznie okre lonej
warto ci nat enia pr du zasilaj cego, sterowniki z
dobieran parametrycznie przez u ytkownika warto ci
nat enia pr du oraz sterowniki sta opr dowe sterowane
cyfrowo. Ze wzgl du na mo liwo bie cej regulacji
warto ci nat enia pr du zasilaj cego LED rozwi zania
sterowane cyfrowo rozwijaj si najbardziej dynamicznie.
Zazwyczaj kryteriami rozstrzygaj cymi podczas wyboru
Rys.2. Schemat blokowy programowalnego sterownika LED [4]
typu sterownika s : niskie koszty lub ma a powierzchnia
zajmowana przez uk ad.
Bardziej zaawansowane uk ady sta opr dowych
W najprostszych konstrukcjach stosuje si aplikacje
sterowników umo liwiaj jednoczesn obs ug do 16
(rys.1) z pomp adunkow [2]. Tego typu rozwi zania
niezale nych kana ów zawieraj cych ga zie LED. W
charakteryzuj si ograniczon mo liwo ci regulacji pr du
zale no ci od liczby obs ugiwanych torów nat enia
wyj ciowego oraz kilkuprocentow zawarto ci sk adowej
wyj ciowych pr dów zasilaj cych mog zawiera si w
zmiennej w sygnale wyj ciowym [3]. Kompletna aplikacja
przedziale od kilku do oko o 200 mA. W poszczególnych
kana ach warto ci pr dów ustawiane s w procesie
programowania z wykorzystaniem magistrali szeregowej
(np. SPI - wyprowadzenia SDI/SDO, rys.2). Praktyczne
realizacje wspomnianych uk adów zawieraj ró ne rodzaje
magistral programuj cych, takie jak: SPI, 1-wire lub I2C.
Sterowniki s przystosowane do czenia a cuchowo w
wi ksze zespo y, których parametry mog by zmieniane w
czasie pracy. Zakres stosowania tych aplikacji w uk adach
zasilania LED mocy jest ograniczony ze wzgl du na zbyt
ma e warto ci dopuszczalnych nat e pr dów
zasilaj cych. Ponadto podstawow wad tej klasy
sterowników s z o one algorytmy obs ugi magistral
programuj cych, wymagaj ce stosowania dodatkowych
specjalizowanych mikrokontrolerów. Struktura sterownika
nie zawiera wewn trznych mechanizmów podtrzymania
konfiguracji po wy czeniu zasilania, st d niezb dne jest
równie inicjowanie procedury programuj cej po ka dym
w czeniu zasilania uk adu. Maj c na uwadze powy sze
ograniczenia w dalszej cz ci publikacji zaproponowano
Rys.1. Struktura przyk adowego sterownika z pomp adunkow [3] rozwi zanie uk adu zasilaj cego LED, przestrajanego
cyfrowo w szerokim zakresie nat e pr du wyj ciowego.
PRZEGL D ELEKTROTECHNICZNY (Electrical Review), ISSN 0033-2097, R. 86 NR 10/2010 193
Rys.3. Schemat ideowy proponowanego rozwi zania
Koncepcja proponowanego rozwi zania sterownika ograniczaj cy jego warto do oko o 7,5 V. Zatem g ównym
Na rysunku trzecim przedstawiono schemat ideowy czynnikiem decyduj cym o maksymalnej warto ci nat enia
elementarnego toru nowej, proponowanej wersji uk adu pr du wyj ciowego jest warto pojemno ci C2 przy sta ej
zasilaj cego LED mocy. W przypadku pracy wielokana owej warto ci rezystancji R7. Istnieje odpowiednik uk adu U2
przedstawiony uk ad nale y zwielokrotni stosownie do (LM2907, [5]) pozbawiony wewn trznego stabilizatora, co
liczby ga zi zawieraj cych diody elektroluminescencyjne. umo liwia zastosowanie wy szej warto ci napi cia
Zawiera on trzy podstawowe bloki funkcjonalne: uk ad zasilaj cego uk ad U2. W uk adzie bez wewn trznej
izolacji galwanicznej U1, przetwornik cz stotliwo -napi cie stabilizacji wyst puj jednak ostrzejsze ograniczenia w
U2 [5] oraz wyj ciowy wzmacniacz pr dowy U3. Sygna em stosunku do dopuszczalnych warto ci t tnie zasilacza,
steruj cym jest cyfrowy przebieg prostok tny o zmiennej gdy ka da zmiana napi cia zasilaj cego przenosi si na
cz stotliwo ci podawany na wej cie uk adu. Na rezystancji zmiany nat enia pr du wyj ciowego.
R5 wytwarzane jest napi cie sta e UR5 proporcjonalne do Wyj ciowy wzmacniacz pr dowy U3 umo liwia
cz stotliwo ci F sygna u wej ciowego. Jego warto wytworzenie w obwodzie wyj ciowym pr du o nat eniu do
okre lona jest poni sz zale no ci : 1 A. Istnieje mo liwo zastosowania wersji wzmacniacza
pr dowego pozwalaj cego wysterowa LED pr dem o
(1) UR5 = VCC F C2 R4,
jeszcze wy szym nat eniu, akceptowalne s równie
kombinacje równoleg ego po czenia kilku wzmacniaczy.
gdzie: VCC jest warto ci napi cia zasilaj cego U2, za
Wobec tego praktycznie nie istniej ograniczenia
pozosta e parametry warto ciami pojemno ci i rezystancji
wynikaj ce z mocy LED zasilanej przez uk ad. Zakres
elementów schematu. Pojemno C1 oraz rezystancja R2
dopuszczalnej warto ci napi cia wyj ciowego wzmacniacza
pe ni rol filtru górno-przepustowego, separuj cego
U3 na poziomie oko o 36 V pozwala zasila ga zie z o one
jednocze nie sk adow sta z wyj cia transoptora U1.
z kilkunastu elementów, zawieraj ce szeregowe po czenia
Pojemno C3 w po czeniu równoleg ym z R4 stanowi filtr
diod elektroluminescencyjnych.
napi cia UR5, decyduj c o amplitudzie jego t tnie . Spadek
W aplikacjach praktycznych pozostaje do rozwa enia
napi cia na rezystancji R5 wyznacza warto nat enia
sposób zapewnienia wystarczaj cej dynamiki zmian
pr du p yn cego w obwodzie wej ciowym wzmacniacza
nat enia pr du LED. Jest to mo liwe albo w wyniku
pr dowego U3. Nat enie pr du wyj ciowego, p yn cego
zwi kszenia górnej granicznej cz stotliwo ci wej ciowej
przez LED D1 przyjmuje warto zgodnie ze wzorem:
akceptowalnej przez uk ad albo poprzez odpowiedni dobór
warto ci szeregowej rezystancji R7 obwodu wej ciowego
(2) ID1 = ((UR5 - UZ) / R7) 100,
wzmacniacza. Pierwszy sposób jest bardziej korzystny ze
wzgl du na mo liwo uzyskania wi kszej rozdzielczo ci
gdzie: UZ jest spadkiem napi cia na tranzystorze
regulacji sygna u wyj ciowego uk adu. Praca z sygna ami
wej ciowym uk adu U3, parametr 100 jest warto ci
wej ciowymi na poziomie kilku kHz nie powoduje wi kszych
wzmocnienia pr dowego U3 wynikaj cym z technologii. Dla
ogranicze konstrukcyjnych.
warto ci elementów podanych na schemacie uzyskano w
Wyniki pomiarów wybranych parametrów uk adu
badaniach laboratoryjnych zakres regulacji pr du
Badania uk adu przeprowadzono z zastosowaniem
wyj ciowego od 2 mA do 400 mA w zakresie zmian
nast puj cych przyrz dów: przestrajanego generatora
cz stotliwo ci sygna u wej ciowego od 50 Hz do 850 Hz.
Spadek napi cia na rezystancji R5 oraz pr d wyj ciowy przebiegu prostok tnego HM8130 firmy Hameg,
laboratoryjnego multimetru cyfrowego V543 firmy
ograniczone s maksymaln cz stotliwo ci sygna u
Meratronik oraz oscyloskopu cyfrowego TDS 714L frimy
wej ciowego akceptowaln przez uk ad. Maksymalna
Tektronix. Przestrajany sygna prostok tny podawano na
cz stotliwo wej ciowa zale y g ównie od warto ci
pojemno ci C2 oraz warto ci napi cia zasilaj cego. W wej cie badanego uk adu rejestruj c przy pomocy
przedstawionej aplikacji w strukturze wewn trznej U2 oscyloskopu i multimetru warto ci napi w wybranych
punktach pomiarowych. Niektóre charakterystyki
wyst puje wewn trzny stabilizator napi cia zasilaj cego
194 PRZEGL D ELEKTROTECHNICZNY (Electrical Review), ISSN 0033-2097, R. 86 NR 10/2010
pomiarowe przedstawiono na poni szych rysunkach. W pojemno ci C2. Nieliniowy odcinek charakterystyki poni ej
kolejno ci, rysunek czwarty zawiera charakterystyki 250 Hz spowodowany jest nieliniowo ci tranzystora
czasowe toru w stanie ustalonym dla przyk adowej wej ciowego wzmacniacza pr dowego. Nieliniowo
cz stotliwo ci sygna u wej ciowego oko o 700 Hz. powy ej 650 Hz wynika ze zbli ania si do górnej
cz stotliwo ci granicznej w uk adzie, wynikaj cej g ównie z
warto ci elementów zewn trznych. Obiektywn , górn
granic zakresu regulacji uk adu jest dopuszczalna,
graniczna warto nat enia pr du wyj ciowego uk adu U3
wyznaczona dopuszczaln moc admisyjn .
Tabela 1. Cz stotliwo ciowa charakterystyka regulacji
Cz stotliwo [Hz] UR5 [V] UZ [V] ID1 [mA]
50 0,401 0,389 2
100 0,849 0,828 4
150 1,272 1,241 5
200 1,681 1,598 14
250 2,030 1,831 37
300 2,392 1,963 77
350 2,663 1,984 111
400 2,979 2,040 168
450 3,272 2,081 213
500 3,501 2,055 258
550 3,791 2,109 300
600 4,020 2,110 341
Rys.4. Wybrane charakterystyki czasowe uk adu 650 4,222 2,101 379
700 4,471 2,202 405
750 4,490 2,171 414
Pierwszy przebieg przedstawia sygna prostok tny z
800 4,490 2,171 414
wyj cia generatora podawany na wej cie transoptora.
850 4,490 2,171 414
Amplituda sygna u odpowiada typowemu standardowi
cyfrowemu LVTTL 3,3 V. W rzeczywistym uk adzie
Ostatnia charakterystyka, przedstawiona na rysunku
generator zewn trzny nale y zast pi wbudowanym,
szóstym, jest cz stotliwo ciow zale no ci sk adowej
przestrajalnym ród em sygna u. Druga charakterystyka jest
zmiennej na wej ciu wzmacniacza pr dowego. Amplituda
sygna em zmierzonym na kolektorze tranzystora uk adu U1.
t tnie jest mniejsza od 30 mV w zakresie liniowego
Widoczne jest zniekszta cenie sygna u powsta e w torze
odcinka regulacji oraz wzrasta w pobli u cz stotliwo ci
optoizolacji, nie ma ono jednak wi kszego wp ywu na
granicznej uk adu.
charakterystyki regulacji uk adu. Trzecia krzywa pokazuje
sk adow zmienn sygna u steruj cego na wej ciu uk adu
U2, za pojemno ci C1. Ostatni przebieg przedstawia
sk adow sta na wej ciu wzmacniacza pr dowego, za
rezystorem R7. Porównuj c zmierzone warto ci sk adowej
sta ej 2,24 V z amplitud t tnie 40 mV daje si zauwa y
niewielki udzia sk adowej zmiennej wiadcz cy o dobrej
filtracji sygna u wyj ciowego.
Rys.6. Cz stotliwo ciowa charakterystyka t tnie napi cia
steruj cego wzmacniacz pr dowy
Podsumowanie
Przedstawiony uk ad sterowania LED umo liwia
uzyskiwanie zakresu regulacji sta opr dowej w przedziale
od kilku mA do 1 A. Do zmiany parametrów uk adu
zastosowano najprostsz metod sterowania cyfrowego,
Rys.5. Cz stotliwo ciowa charakterystyka regulacji
polegaj c na zmianie cz stotliwo ci sygna u steruj cego w
przedziale poni ej 1 kHz. Uk ad sk ada si z trzech
Kolejna krzywa (rys.5 oraz tabela 1) jest zmierzon
ma ogabarytowych uk adów scalonych, dzi ki czemu
cz stotliwo ciow charakterystyk regulacji modelu
rozwi zanie konstrukcyjne nie zajmuje du o miejsca.
proponowanego uk adu, przedstawia zmiany pr du diody
Mo liwe jest takie dobranie warto ci elementów, które
LED w funkcji cz stotliwo ci sygna u steruj cego. Widoczny
pozwalaj uzyskiwa wi ksze warto ci nat enia pr du
jest prawie liniowy odcinek regulacji w zakresie od oko o
wyj ciowego oraz rozdzielczo regulacji. Omówiona
250 Hz do oko o 650 Hz. Niewielk warto b du
struktura sterownika umo liwia zasilanie zarówno
nieliniowo ci w tym zakresie mo na skorygowa
pojedy czych diod elektroluminescencyjnych jak i
algorytmem steruj cym ród o sygna u wej ciowego.
szeregowych ga zi zawieraj cych do kilkunastu
Nachylenie liniowego odcinka charakterystyki jest odwrotnie
elementów. Przedstawion koncepcj pojedy czego toru
proporcjonalne do warto ci rezystancji R7, za jej zakres
zasilaj cego nale y powieli w aplikacjach wielo-
jest ograniczony warto ci napi cia zasilaj cego oraz
PRZEGL D ELEKTROTECHNICZNY (Electrical Review), ISSN 0033-2097, R. 86 NR 10/2010 195
kana owych. Wówczas konieczno ci staje si czesto := 0;
zastosowanie wielokana owego, przestrajalnego ród a Wy <= not Wy;
sygna ów cyfrowych o zmiennej cz stotliwo ci. Naturalnym end if;
rozwi zaniem uk adowym takiego ród a jest zastosowanie end if;
równoleg ego uk adu cyfrowego na bazie struktury end process;
CPLD/FPGA. Umo liwia ono umieszczenie w jednej end architecture;
strukturze pó przewodnikowej niezale nie pracuj cych,
przestrajanych generatorów synchronizowanych z tego Przedstawiony opis struktury w VHDL umo liwia
samego ród a, np. generatora kwarcowego 50 MHz. wygenerowanie o miu warto ci cz stotliwo ci wyj ciowej w
Poni ej przedstawiono fragment kodu opisuj cego w VHDL zale no ci od warto ci nastaw zadajnika kodu binarnego
dzia anie przestrajanego ród a sygna u jednego kana u, SW. Przedstawiony fragment kodu wykorzystuje tylko 53
które mo na implementowa w strukturze FPGA: makrokomórki spo ród prawie 40000 wyst puj cych w
redniej wielko ci uk adzie FPGA Cyclone II. Nie istniej
library IEEE; przeszkody konstrukcyjne uniemo liwiaj ce rozszerzenie
use IEEE.STD_LOGIC_1164.ALL; zarówno zakresu cz stotliwo ci sygna u wyj ciowego jak i
use IEEE.STD_LOGIC_ARITH.ALL; liczby przyjmowanych warto ci. Zale no podstawowych
use IEEE.STD_LOGIC_UNSIGNED.ALL; parametrów technicznych uk adu tylko od warto ci
elementów dyskretnych oraz struktury generatora wewn trz
entity Generator is FPGA pozwala modyfikowa jego charakterystyki w
Port ( SW : in STD_LOGIC_VECTOR (2 downto 0); szerokim zakresie. Jest to rozwi zanie znacznie prostsze w
Clk : in STD_LOGIC; -- wej cie sygna u zegarowego obs udze i znacznie czytelniejsze ni skomplikowane
-- z generatora kwarcowego struktury scalonych, wielokana owych sterowników LED.
-- o cz stotliwo ci 50 MHz
Wy : buffer STD_LOGIC := '0'); Publikacj przygotowano w ramach pracy statutowej
end entity; S/WE/1/2006
architecture Kod of Generator is LITERATURA
signal dzielnik : integer range 0 to 300000 := 50000;
[1] Richardson Ch., LED Applications and Driving Techniques,
begin
The Sight & Sound of Information, (2007), www.national.com
with SW select
[2] Pan F., Samaddar T., Charge Pump  Circuit
dzielnik <= 250000 when "000", -- 100 Hz
Design, Professional Engineering 6X9, (2006),
125000 when "001", -- 200 Hz
McGraw-Hill
83333 when "010", -- 300 Hz
[3] Macroblock Inc., Regulated Charge Pump, Preliminary
62500 when "011", -- 400 Hz
Datasheet MBI6501, V1.0, (2007), www.mblock.com.tw
50000 when "100", -- 500 Hz [4] Macroblock Inc., 8-Bit Constant Current LED Sink Driver with
Gain Control, Datasheet MBI5170, VA.02, (2005),
41666 when "101", -- 600 Hz
www.mblock.com.tw
35714 when "110", -- 700 Hz
[5] National Semiconductor, LM2917 Frequency to
31250 when others;-- 800 Hz
Voltage Converter, Application Note, (2008),
process(Clk,dzielnik)
www.national.com
variable czesto : integer range 0 to 100000 := 0;
begin
Autor: dr in . Marian Gilewski, Politechnika Bia ostocka, Wydzia
if (Clk'event and Clk = '1') then
Elektryczny, Katedra Automatyki i Elektroniki, ul. Wiejska 45d, 15-
czesto := czesto + 1;
351 Bia ystok, E-mail: pbwemagi@pb.edu.pl;
if czesto = dzielnik then
196 PRZEGL D ELEKTROTECHNICZNY (Electrical Review), ISSN 0033-2097, R. 86 NR 10/2010


Wyszukiwarka