Sprawozdanie Uk�ady cyfrowe 07 nasze (2)


Sprawozdanie

UKŁADY CYFROWE

Układami cyfrowymi nazywamy układy elektroniczne realizujące funkcje nieciągłe mogące przyjmować wartości dyskretne 0 lub 1. Podstawową jednostką informacji w układach cyfrowych jest jeden bit. Niesie on informacje o zaistnieniu wartości logicznej 0 lub 1. Funkcje logiczne są zgodne z aksjomatyką algebry Boole'a (definiuje operacje sumy, iloczynu i negacji):

0x01 graphic
0x01 graphic
0x01 graphic
0x01 graphic

Działania te pozawalają na realizację dowolnej funkcji logicznej. W operacjach tych pomocne są prawa de'Morgana:

0x01 graphic
0x01 graphic

Znając te zagadnienia mogliśmy przystąpić do wykonywania kolejnych ćwiczeń, które polegały na budowaniu układów cyfrowych, o określonych parametrach wejść, lub wyjść.

System funkcjonalnie pełny to taki, w którym za pomocą jednego elementu możemy zbudować dowolną funkcję logiczną. Jednym z takich elementów jest bramka NAND (zaprzeczenie iloczynu).

NAND jest dwuargumentową funkcją boolowską często przedstawianą symbolicznie jako c = 0x01 graphic
, który oznacza negację koniunkcji dwóch argumentów. Jego znaczenie przedstawia poniższa tablica prawdy:

0x08 graphic

a

b

c

0

0

1

0

1

1

1

0

1

1

1

0

Ćwiczenie 1

Zbudować układ, który na wyjściu będzie miał sygnał 0x01 graphic
przy użyciu bramek NAND

0x01 graphic

0x08 graphic
0x01 graphic
0x01 graphic

0x01 graphic

0x01 graphic
0x01 graphic

Ćwiczenie 2

Zbudować układ, który na wyjściu będzie miał sygnał 0x01 graphic
przy użyciu bramek NAND

0x08 graphic
0x01 graphic
0x01 graphic

0x01 graphic
0x01 graphic

Ćwiczenie 3

Zbudować układ, który na wyjściu będzie miał sygnał 0x01 graphic
przy użyciu bramek NAND.

Ćwiczenie 4

Znane jest równanie stanów. Doprowadź je do najprostszej postaci, aby zminimalizować układ i na podstawie tego zbuduj układ realizujący podane równanie.

0x01 graphic

0x01 graphic

0x01 graphic

0x01 graphic

0x01 graphic

0

0

0

0

0

0

1

1

0

1

0

1

0

1

1

1

1

0

0

1

1

0

1

1

1

1

0

0

1

1

1

0

Ćwiczenie 5

Samolot bezzałogowy wystartuje z pilotem na pokładzie lub bez. Kontrolka przeciwoblodzeniowa musi być włączona a czujnik informujący o braku paliwa wyłączony.

0x01 graphic

0x01 graphic

0x01 graphic

0x01 graphic

0

0

0

0

0

0

1

0

0

1

0

1

0

1

1

0

1

0

0

0

1

0

1

0

1

1

0

1

1

1

1

0

Rozważając nasz sygnał zapisujemy 3 sygnały wejściowe:

0x08 graphic
0x01 graphic
- obecność pilota

0x01 graphic
- oblodzenie samolotu

0x01 graphic
- paliwo

0x08 graphic

0x08 graphic
0x01 graphic
0x01 graphic
0x01 graphic

0x01 graphic
0x01 graphic

Poprawność działania wszystkich układów sprawdzaliśmy przy wykorzystaniu układów scalonych z 2 i 3 wejściowymi bramkami NAND będącymi elementami tablicy UNILOG (UL-01, UL-04, UL-0.5 oraz UL-07) za pomocą której budowaliśmy wszystkie swoje układy.

Sygnał wyjściowy odczytywaliśmy przy pomocy diody LED.

Najczęściej stosowanymi scalonymi układami bipolarnymi w technice cyfrowej są układy TTL(transistor-transistor-logic). Stosowane w zakresie małego i średniego stopnia scalania. W układach cyfrowych sygnały przyjmują dwie wielkości dyskretne, z których jedna jest identyfikowana jako stan logiczny „1”, a druga stan logiczny „0”.

Wartości charakteryzujące taki układ to :

Napięcie zasilające na poziomie +5V powinno być stabilizowane w granicach ±5%

Napięcie wejściowe w stanie logicznym „0” to 0,8 V , natomiast stan „1” jest napięciem równym 2 V oraz odpowiadające im napięcia wyjścia :

Obciążalność bramki w naszym przypadku równa 10 określa ilość takich samych układów TTL które mogą być dołączone do jednego wyjścia układu podstawowego (TTL). Przekroczenie zalecanej wartości obciążalności może spowodować nagrzewanie się układu i zwiększenie poboru mocy.

4

0x01 graphic

00

01

11

10

0

0

0

1

1

1

0

0

0

0



Wyszukiwarka

Podobne podstrony:
Sprawozdanie Układy cyfrowe 2007 nasze, nauka, PW, Sem 4, Elektronika II lab
Sprawozdanie 1 Oscyloskopy Cyfrowe
Sprawozdanie z dnia 10 07
Sprawozdanie Ukłądy cyfrowe
sprawozdanie?p wyswietlacz cyfrowy
Sprawozdanie z dnia 12 07
Sprawozdanie Układy cyfrowe 2007, wip, Elektronika 2
Sprawozdanie z dnia 11 07
sprawozdanie nr 2 cyfrowa
sprawozdanko wapno word 07
Jaworek Michal sprawozdanie uklady cyfrowe liczniki
Jaworek Michal sprawozdanie uklady cyfrowe dodawanie
203 rejestry, Politechnika Wrocławska - Materiały, logika ukladow cyfrowych, sprawozdania
nieodporny sprawozdanie, Pwr, Metody i algorytmy sterowania cyfrowego, sprawka
Układy cyfrowe i systemy wbudowane 1 SPRAWOZDANIE 2
07 Dysocjacja elektrolityczna, pH sprawozdanie
Sprawozdanie nr 2 07
07 lab hałas i osłony mater do sprawozd
07 lab ster elektr nap mat na sprawozd

więcej podobnych podstron