MG 75

MG 75



Mariusz Rawski

Instrukcja selektywnego przypisania

with wyrażenie .selekcjonujące select

sygnał <= wyrażeńie_l when wybór.l, wyrażenie_2 when wybór_2,

wyrażenie.n when wybór_n;

•    Instrukcja selektywnego przypisania przypisuje wyrażenie zgodnie z wartością wyrażenia selekcjonującego.

•    Wynikiem wyliczenia wyrażenia selekcjonującego musi być wartość dyskretna lub tablica 1-D, oznacza to, że liczba możliwych wartości jakie przybierz wyrażenie selekcjonujące jest ograniczona.

•    Wartości wybórj muszą być różne (żadna wartość nie może się pojawić dwukrotnie) i wszystkie wartości muszą być wymienione, co oznacza, że instrukcja musi dopasować każde z możliwych wartości wyrażenia selekcjonującego do najwyżej jednego wyboru. Pominiecie jakiś wartości spowoduje błąd kompilacji kodu.

BTÓrTŃ/HDL Case Statement error at file.vhd(14): Case Statement choices must cover all possible values of expression

•    Słowo kluczowe others może być użyte jako ostatni wybór wybór_n


Mariusz Rawski


Wyszukiwarka

Podobne podstrony:
MG 81 Mariusz RawskiMultiplekser 4 wejściowe szyny 8 bitowe szyna wyjściowa 2 bitowa sygnał se/ect
MG 76 Mariusz RawskiW—Koncepcja realizacji • Realizację instrukcji selektywnego przypisania można
MG 59 Mariusz Rawski jKod U2 ^U2    ^^n-1
MG 78 Mariusz Rawski end data_flow; •    4 porty wyjściowe •    warto
MG 82 H Mariusz RawskiDekoder priorytetu przerwań - inaczej llbrary ieee; use leee.std_loglc_1164.a
MG 90 Mariusz Rawski +Automat wykrywający sekwencję 011 •    Automat wykrywający
MG&03 Mariusz RawskiPorty dwukierunkowe •    Porty dwukierunkowe mogą być wykorzysty
MG 93 Mariusz Rawski Automat wykrywający sekwencję 011- działanie m
MG 94 Mariusz Rawski clkAutomat z resetem synchronicznymtagn lw6<“V; <mV; pi^line«Vdwn
MG&06 I Mariusz Rawski zegara zaruwnu w FSM1, jak i w FSM2Blok ASM Wyjście Moorea *P-ij stan Blok
MG 95 uKtaai? rsti#dk Mariusz RawskiAutomat z resetem synchronicznym (3)Automat 2 Ustalenie wartośc
MG&01 IT12    [ TXE inactkw aftar RD cyda I 00 ■li*—I Mariusz Rawski t^crZ -"&g
MG 85 endif;statement_6; Mariusz Rawski iProste ALU arg1 arg1 opcodelsimpleALU result architecture
MG&02 generowet oopw— sekwencje sygnałów wyjściowych Mariusz Rawskie------- :Diagram stanów generac
MG&07 Mal^ggo. Mariusz Rawski Cs T7    *Konwersja ASM na FSM%p>>( ćA,/»ścor
MG 54 Mamisz Rawskil/lBlok funkcjonalny X X, (Y) - wejścia (wyjścia) sygnałów reprezentujących dane
MG 88 I__ Mariusz Rawsld Hbrary library ieee; use ieee.stdJ(^<Ln64.a!l; entity latchjnodule
aby ją utworzyć i nadać jej wartość, należy użyć instrukcji przypisania zmienna = wyrażenie •
dr inż. Piotr Matka PROGRAMOWANIE JAVA W języku Java istnieją też operatory z przypisaniem np. wyraż

więcej podobnych podstron