MG 81

MG 81



Mariusz Rawski


Multiplekser


4 wejściowe szyny 8 bitowe

szyna wyjściowa 2 bitowa

sygnał se/ect decyduje o tym, która szyna

wejściowa jest przekazywana na wyjście



Wykorzystanie nieokreśloności w układzie.

W tym przypadku nie ma różnicy pomiędzy kodami VHDL, gdyż sprawdzone zostały wszystkie wartości sygnału sel- Jednakże sygnał ten jest typu stdJogic_vector wlec może przyjęć Zwartości, ale tylko dla celów symulacji.


Mariusz Rawski


library ieee;

use ieee.std_logic_ll64.all;


entity mux_4x8 is

Port(

a, b, c, d sel o

);

end mux_4x8;

archltecture data_flow of mux_4x8 is begin

o <= a when (sel = ”00”) else b when (sel = ”01") else c when (sel = ”10") else d;

end data_flow;


in std_logic_vector (7 downto 0); in śtd_logię_vector (1 downto 0); out std_logic_vectoc(7 downto 0)




Wyszukiwarka

Podobne podstrony:
MG 78 Mariusz Rawski end data_flow; •    4 porty wyjściowe •    warto
MG 75 Mariusz RawskiInstrukcja selektywnego przypisania with wyrażenie .selekcjonujące select sygna
MG 59 Mariusz Rawski jKod U2 ^U2    ^^n-1
MG 76 Mariusz RawskiW—Koncepcja realizacji • Realizację instrukcji selektywnego przypisania można
MG 82 H Mariusz RawskiDekoder priorytetu przerwań - inaczej llbrary ieee; use leee.std_loglc_1164.a
MG 90 Mariusz Rawski +Automat wykrywający sekwencję 011 •    Automat wykrywający
MG&03 Mariusz RawskiPorty dwukierunkowe •    Porty dwukierunkowe mogą być wykorzysty
MG 93 Mariusz Rawski Automat wykrywający sekwencję 011- działanie m
MG 94 Mariusz Rawski clkAutomat z resetem synchronicznymtagn lw6<“V; <mV; pi^line«Vdwn
MG&06 I Mariusz Rawski zegara zaruwnu w FSM1, jak i w FSM2Blok ASM Wyjście Moorea *P-ij stan Blok
MG 54 Mamisz Rawskil/lBlok funkcjonalny X X, (Y) - wejścia (wyjścia) sygnałów reprezentujących dane
MG 95 uKtaai? rsti#dk Mariusz RawskiAutomat z resetem synchronicznym (3)Automat 2 Ustalenie wartośc
MG&01 IT12    [ TXE inactkw aftar RD cyda I 00 ■li*—I Mariusz Rawski t^crZ -"&g
MG 85 endif;statement_6; Mariusz Rawski iProste ALU arg1 arg1 opcodelsimpleALU result architecture
MG&02 generowet oopw— sekwencje sygnałów wyjściowych Mariusz Rawskie------- :Diagram stanów generac
MG&07 Mal^ggo. Mariusz Rawski Cs T7    *Konwersja ASM na FSM%p>>( ćA,/»ścor
MG 88 I__ Mariusz Rawsld Hbrary library ieee; use ieee.stdJ(^<Ln64.a!l; entity latchjnodule
Przykład 4: Detektor sekwencji 4-bitowej Na wejście x automatu podawany jest ciąg bitów, sygnał y =

więcej podobnych podstron