DK2192 CH15

background image

15

Resists for Mask Making

Benjamen Rathsack, David Medeiros, and C. Grant Willson

CONTENTS

15.1 Introduction
15.2 Photomask Resist Requirements
15.3 Resist Materials

15.3.1 Nonchemically Amplified Resists

15.3.1.1

Positive Tone Resists Based on Chain Scission

15.3.1.2

Positive Tone Resists Based on Dissolution Inhibition

15.3.1.3

Negative Tone Based on Cross-Linking

15.3.2 Chemically Amplified Resists

15.3.2.1

Positive Tone Chemically Amplified Resists

15.3.2.2

Negative Tone Chemically Amplified Resists

15.4 Resist Implementation Challenges

15.4.1 Resist–Blank Interactions

15.4.1.1

Nature of Photomask Blanks

15.4.1.2

Resist Footing

15.4.2 Resist Outgassing
15.4.3 Mask Shop Issues

References

15.1

Introduction

Resist materials used for mask making continue to evolve as the demand for higher
resolution and the production of complex assist features introduce new challenges. This
chapter provides a brief history of the development of mask-making resists and addresses
some of the most relevant challenges faced in patterning of photomasks.

15.2

Photomask Resist Requirements

Photomasks are fabricated using both electron beam (e-beam) and laser lithography
processes. E-beam lithography at 50 kV is used for high-resolution photomask production

Rizvi / Handbook of Photomask Manufacturing Technology DK2192_c015 Final Proof page 325 7.3.2005 6:26pm

© 2005 by Taylor & Francis Group.

background image

at the 90- and 65-nm nodes [1,2]. High voltage e-beam systems using 100 kV have printed
features as small as 20 nm for direct write and imprint applications [3]. An increase in
accelerating voltage improves resolution but decreases resist sensitivity [4] and increases
resist heating [5,6]. Resist heating also increases with higher beam current, which pro-
duces proximity linewidth errors. Resist sensitivity (dose) and beam current issues limit
the throughput of the e-beam mask writer systems. E-beam tools like the EBM-4000
(50 kV) using a current density of 20 A/cm

2

need resists with 5 mC/cm

2

dose sensitivity

to write a 100-nm node reticle in around 7 h [2]. The transition to high accelerating voltage
e-beam systems has driven the evaluation and development of new resist systems that
have higher dose sensitivity, lower temperature sensitivity, lower exposure outgassing,
long postexposure delay stability, dry etch resistance, and compatibility with chromium
substrates.

Laser photomask lithography provides higher throughput (4-h write times) than

e-beam by splitting a laser source into multiple beams that write simultaneously. The
ALTA tools produced by ETEC Systems use 32 beams to brush an exposure area [7].
The ALTA 3700 laser photomask writer uses a continuous wave, 363.4 nm, argon ion laser
for imaging resist features with 0.5-mm dimensions [8]. Nonchemically amplified
(NCA) resists based on two-component diazonaphthoquinone (DNQ)-novolak chemistry
are used for these systems due to their transparency and bleaching optical properties.
Higher resolution laser lithography systems have been made through the reduction in
exposure wavelength from 363 to 257 nm [9]. The reduction in wavelength into the deep
ultraviolet (DUV) has driven the evaluation of novel, NCA resist materials [10], and
chemically amplified resists (CARs) commonly used for 248-nm wafer lithography
[11,12]. New DUV laser photomask tools are also developed that function like micro-
steppers using a micromirror device (spatial light modulator), which regulates pixel
exposure using a pulsed 248-nm laser [13,14]. The transition to DUV exposure wave-
lengths has driven the evaluation of resist materials that have the appropriate optical
transparency, postexposure delay stability, dry etch resistance, and compatibility with
chromium substrates.

Photoresist imaging processes for both photomask and IC fabrication are similar

as shown in Figure 15.1. The photoresist is spin-coated on an antireflective, quartz
substrate. The quartz substrate has a 6-in. 6-in. surface dimension and is 0.25 in. thick.
The thin opaque layer on the photomask is typically chromium, which provides a
mechanically strong layer that prevents light transmission through the mask. A hetero-
geneous oxynitride layer is grown on the chromium layer to minimize reflections off of
the mask.

FIGURE 15.1
Positive and negative photoresist
processing for photomasks.

Coat and bake (PAB)
resist on mask

Expose and
bake (PEB)

Develop

Etch

Stripe

Negative

Positive

© 2005 by Taylor & Francis Group.

background image

The photomask blanks are coated with resist and then postapplication baked (PAB) to

remove solvent. An electron or laser beam directly exposes individual features of the
circuit pattern into the photoresist in a serial fashion. It can take many hours to directly
print all the features into the photomask where it takes only seconds to expose an image
of that photomask using a projection lithography tool.

CARs are postexposure baked (PEB) to drive an acid-based deprotection reaction that

renders the films soluble in an aqueous base. Optical resists are also baked after exposure
to diffuse the variation in photoactive compound (PAC) concentration in the film caused
by standing wave interference effects from reflections at the air-resist and resist-substrate
interfaces. Even though the reflections from the chromium surface are small, the high
contrast of modern resists demands a PEB to achieve the maximum process latitude [15].
Optical photoresists are most commonly developed with an aqueous base developer
(0.26 N tetramethyl ammonium hydroxide or TMAH), while most scission-based e-
beam resists are developed using organic solvents. The developed features are etched
into the chromium layer using a wet or dry etch (Cl

2

/O

2

gas). The remaining photoresist

is stripped off the photomask using a sulfuric acid and peroxide mixture or by oxygen
ashing. The photomask is typically cleaned multiple times to prevent defects, inspected,
and a pellicle that is repaired as necessary is placed over the patterned features to
maintain a defect-free imaging plane on the mask.

15.3

Resist Materials

15.3.1 Nonchemically Amplified Resists

NCA resists were used in early photomask lithography applications. NCA resists are
described on the basis of their functions in the following section: positive tone resists that
undergo chain scission, positive tone resists that convert dissolution inhibitors into
soluble species, and negative tone resists based on cross-linking. These resist materials
have evolved to provide the resolution, sensitivity, etch resistance, and chemical stability
for photomask fabrication.

15.3.1.1 Positive Tone Resists Based on Chain Scission
The majority of early positive tone e-beam resists were based on polymer chain scission.
Polymer chain scission reduces the molecular weight of polymer chains upon radiation
with e-beam exposure, which increases the solubility of the polymer in organic solvents.
The difference in dissolution rate between exposed and unexposed polymers provides the
dissolution contrast necessary for imaging. The exposure sensitivity for chain scission
resists is quantified by a material parameter, G(s), that describes the number of scissions
per unit (100 eV) absorbed dose. Typical scission efficiencies G(s) range from 1.3 for low
sensitivity resists like poly(methyl methacrylate) or PMMA to 10 for high sensitivity
polymers like poly(butene-1-sulfone) or PBS [16].

One of the earliest positive-tone, scission-based resists is poly(methyl methacrylate),

as shown in

Figure 15.2.

Polymer chain scission appears to be initiated by radiolysis of

the main-chain carbon to carbonyl bond [17,18]. The resulting tertiary radical rapidly
rearranges to cleave the main chain and form volatile products. The main-chain scissions
reduce the molecular weight of the polymer and thereby increase its dissolution rate in an
organic developer like methyl-isobutyl ketone: isopropanol (1:3 ratio). The dissolution

Rizvi / Handbook of Photomask Manufacturing Technology DK2192_c015 Final Proof page 327 7.3.2005 6:26pm

© 2005 by Taylor & Francis Group.

background image

properties of PMMA as a function of exposed energy and polymer molecular weight have
been studied in effort to increase the resist contrast [19,20].

Researchers have worked since the 1960s to make PMMA analogs with improved

sensitivity and lithographic performance. A ‘‘terpolymer’’ analog, consisting of methyl
methacrylate, methacrylic acid, and methacrylic anhydride, was found to improve the
scission efficiency G(s) from around 1.3 to 4.5 using 10 mC/cm

2

at 10 kV [16]. Furthermore,

highly electron-withdrawing groups, such as halogens, have been introduced at the alpha
position of the acrylate moiety to aid in the stabilization of main-chain radical that results
from radiolysis. EBR-9 made by Toray is one example of a resist developed from halo-
genated methacrylate homo- and copolymers. Even though PMMA resists have demon-
strated high resolution, down to 10 nm using high voltage tools [21], they still have had
difficulties meeting the 1–2 mC/cm

2

exposure dose requirements needed for production

worthy throughput at 10 kV.

These stringent dose requirements were finally achieved in positive resists based on

PBS [22]. PBS has very high sensitivity [G(s) of 10], enabling it to image features under
1 mC/cm

2

at 10 kV. PBS is an alternating copolymer of sulfur dioxide and 1-butene

that undergoes main-chain scission between the carbon and sulfur bond as shown in
Figure 15.3. The temperature-dependent reaction produces sulfur dioxide and organic by-
products [23]. An organic solvent mixture consisting of 30% methyl-propyl ketone and
70% methyl-isoamyl ketone is commonly used to develop the PBS resist. The dissolution
rate of PBS is surprisingly humidity dependent.

PBS has been one of the most widely used commercial e-beam resists between 1980s

and mid-1990s. PBS has demonstrated good exposure latitude and linearity down to
around 500 nm [24,25]. In 1996, PBS coated mask blanks represented 52% of all those
shipped from Hoya. Unfortunately, both PBS and PMMA have poor resistance to the
halogen-based dry etch process used to etch chromium. Hence, they are limited to wet
etch applications that include a significant intrinsic bias. This bias results from undercut-
ting and has driven the need for resists with better dry etch resistance.

In the 1980s, two-component e-beam resists were developed that combined the high

sensitivity of the poly(sulfone) with the high etch resistance of novolak polymers. The

C

CH

3

CH

3

CH

3

CH

3

H

3

C

CH

3

C

O

O

OCH

3

OCH

3

OCH

3

OCH

3

OCH

3

n

e

n

C

O

C

O

C

O

FIGURE 15.2
Poly(methyl methacrylate) undergoes a scission reaction upon e-beam exposure.

H

2

C

CH

3

+ SO2

n

S

O

O

e

Chain scission

CH 3

(

)

FIGURE 15.3
PBS undergoes a scission reaction upon e-beam exposure.

© 2005 by Taylor & Francis Group.

background image

etch resistance of the novolak polymer is driven by the existence of cyclic rings that have a
high carbon to hydrogen ratio. Bell Laboratories developed a resist called NPR, which
consists of phase-compatible blends of a novolak copolymer and poly(2-methyl-1-pen-
tene) or PMPS [26] as shown by its structure in Figure 15.4. IBM developed a similar
platform called the sulfone/novolak system or SNS based on m-cresol novolak and a
specialized poly(sulfone) copolymer [27,28]. The inclusion of the phenolic-based novolak
polymer enabled the use of aqueous base developer, instead of organic developers and
provided excellent etch resistance. The poly(sulfone) copolymer plays a dual role as a
dissolution inhibitor and a sensitizer in these systems. The scission of poly(sulfone)
produces sulfur dioxide and other volatile organics that no longer inhibit the dissolution
of novolak. Even though the use of novolak increases etch resistance, SNS-type resists
outgas and require exposure doses that are higher than PBS (5–10 mC/cm

2

).

In the mid-1990s, multi-pass e-beam exposure tools, such as MEBES 4500, were devel-

oped to deliver higher total exposure doses providing partial exposure during each pass.
This drove the use of resist materials that had better dry etch resistance even though they
had lower sensitivity [29]. These multi-pass tools enabled the use of a new positive tone
resist based on poly(methyl-chloroacrylate-co-methylstyrene), which provided a balance
between sensitivity and etch resistance. Halogenation of the acrylate drives the sensitiv-
ity, and the cyclical styrene moiety drives the etch resistance, as shown in Figure 15.5. Dai
Nippon developed a commercial resist called ZEP 7000 based on this chemistry that was
widely used for photomask fabrication during the 180-nm node. ZEP 7000 requires
around 8 mC/cm

2

at 10 kV and provides dry etch resistance for production photomask

fabrication [30].

15.3.1.2 Positive Tone Resists Based on Dissolution Inhibition

Two-component DNQ-novolak resists were widely developed to support optical, projec-
tion lithography (435–365 nm wavelengths). These materials found limited use in e-beam
applications (AZ-5206) due to low sensitivity, but resists like TOK IP3600 were widely
adopted for 363.4-nm laser photomask lithography [31]. DNQ-novolak resists are capable
of 500-nm resolution for production reticles and have demonstrated resolution down to
300 nm using high contrast resists [15].

DNQ is a PAC that inhibits the dissolution of novolak polymer resin. Exposure converts

the DNQ chromophore compound from a base insoluble compound to a base soluble

R

OH

OH

R

n

R

OH

FIGURE 15.4
Novolak polymer contains cyclic rings that provide higher dry etch
resistance. The sensitizer is based on PMPS.

e

CH

3

Cl

CO

2

CH

3

n

Chain scission

FIGURE 15.5
ZEP 7000 commercialized by Dai Nippon is
based on poly(methyl-chloroacrylate-co-methyl-
styrene), which provides a balance between sen-
sitivity and etch resistance.

© 2005 by Taylor & Francis Group.

background image

indenecarboxylic acid through the mechanism shown in Figure 15.6 [32]. The carbene is
hypothesized to rearrange to form a ketene intermediate, via the Wolff rearrangement. In
the presence of water, the ketene forms the base soluble indenecarboxylic acid. The need
for water to complete the conversion of DNQ into a base soluble product has led to the
use of humidified air in the focus subsystem of production laser writers [33].

Novolak is typically synthesized from a mixture of meta- and para-cresol, a 35–40%

aqueous solution of formaldehyde and an oxalic acid catalyst. The formaldehyde pro-
duces methylene linkages in the two ortho and one para positions on the cresol to form
novolak polymer. The efficiency of novolak inhibition has been linked to the interaction of
the sulfonate substituents [34] on the DNQ and to the number of ortho–ortho bonds in the
novolak resin [35]. DNQ is postulated to reduce the probability of deprotonation of
hydroxyl groups on novolak due to hydrogen bonding between the sulfonate linkages
and hydroxyl groups on the phenolic polymer.

The contrast of DNQ-novolak resists derives from the influence of the unexposed and

exposed DNQ molecules on novolak as shown in Figure 15.7. DNQ dramatically de-
creases the dissolution rate of novolak resin in aqueous base. The carboxylic acid photo-
product of the exposed DNQ actually increases the development rate above the
dissolution rate of the pure novolak resin in aqueous base. The changes in the solubility
properties switch of DNQ-novolak photoresists with exposure creates a nonlinear devel-
opment rate response that allows the fabrication of square resist feature cross-sections
from a Gaussian-shaped aerial image.

H

2

O

S

R

O

O

O

OH

light

O

N

2

N

2

S

R

O

O

C

S

R

O

O

O

Diazonaphthoquinone

(base insoluble)

Indenecarboxylic

acid

(base soluble)

Carbene

Ketene

intermediate

O

S

R

O

O

FIGURE 15.6
Photoreaction for a DNQ PAC.

FIGURE 15.7
Dissolution response of DNQ-novolak photoresists with exposure.

Pure
novolac

Development rate

Novolac
+photoproducts

Novolac

+DNQ

Rizvi / Handbook of Photomask Manufacturing Technology DK2192_c015 Final Proof page 330 7.3.2005 6:26pm

© 2005 by Taylor & Francis Group.

background image

PACs have also been developed that bleach upon exposure in the DUV (248–257 nm)

and inhibit novolak in a fashion analogous to DNQ. A tri-functional diazopiperidione
PAC has been synthesized with sulfonate linkages that enable novolak inhibition and
high dissolution contrast upon exposure [36]. A diazopiperidione-novolak resist has
produced 500-nm features using a 257-nm laser writer. The resolution of this type of
resist was limited by the high absorbance of novolak in the DUV. Research continues on
developing polymers that are transparent in the DUV and inhibited by PACs based on
diazopiperidione.

15.3.1.3 Negative Tone Based on Cross-Linking

Early negative tone e-beam resists were based on epoxy and styrene-based moieties.
A common epoxy-based resist called COP is based on the copolymer of glycidyl metha-
crylate and ethyl acrylate developed at Bell Laboratories [37]. The epoxy substituent on
the COP resist forms inter-chain linkages during a radiation-initiated cross-linking reac-
tion, which makes the resist insoluble. The radiation-initiated reaction propagates
through a chain reaction until termination by water or some quencher. The chain reaction
propagation leads to high exposure sensitivity. However, reaction propagation continues
after exposure has stopped, which generates a CD dependence on the postexposure delay
called ‘‘dark reaction.’’ COP resists are no longer widely used in production due to resist
swelling, poor chromium etch resistance, and dark erosion effects.

Negative e-beam resists have also been developed from polystyrene derivatives, such

as poly(chloromethylstyrene). The exposure sensitivity of polystyrene has been increased
through the addition of halogen or halomethyl groups in the para-substituted position on
the ring as shown in Figure 15.8 [38]. It is proposed that the radiation induces cleavage of
the carbon–halogen bond to create a free radical. The free radical induces cross-linking
without a propagating chain reaction leading to dark erosion effects. The contrast of
styrene-based resists has been increased through the use of high molecular weight
polymers at constant dispersity. Even though polystyrene-based resists have demon-
strated high contrast and no dark erosion effects, resist swelling due to organic solvent
development has restricted production use.

Hydrogen silsesquioxane (HSQ) is an inorganic oxide that has demonstrated utility as a

high resolution, negative tone resist. Dow Corning commercialized HSQ as a product
called FOx (flowable oxide) primarily for spin-on-glass applications. The chemical struc-
ture of HSQ is based on a silicon dioxide network containing reactive SiH bonds on the
edges of the molecule as shown in

Figure 15.9.

Heat [39] and e-beam exposure [40] cleave

the SiH bonds enabling the formation of a SiO cross-linked network, which is insoluble
in standard TMAH or KOH developers. The solubility difference or dissolution contrast
between SiH and cross-linked SiO moieties provides HSQ’s function as a negative tone
resist.

CH

2

X

X

X

= Cl, Br, I

FIGURE 15.8
Halogen para-substituted analogs increase the sensitivity of
negative polystyrene resists.

Rizvi / Handbook of Photomask Manufacturing Technology DK2192_c015 Final Proof page 331 7.3.2005 6:26pm

© 2005 by Taylor & Francis Group.

background image

HSQ has demonstrated high resolution and linewidth control without the swelling

common to other negative tone, polymeric materials that require organic solvent devel-
opment. HSQ has been used to print 30-nm structures for imprint lithography masks [41]
and 10–30-nm structures for direct write silicon applications [42,43]. In these applications,
a thin layer (50–100 nm) of HSQ is coated from methyl isobutyl ketone. HSQ is coated thin
due to its high dose requirements in the order of 500–1000 mC/cm

2

at 50 kV e-beam

voltages.

These high dose requirements have driven the use of HSQ in bilayer applications for

high voltage (50–100 kV) and low voltage (1 kV) applications [44,45]. Bilayer resist pro-
cesses consist of a thin imaging layer (HSQ) coated on a thicker transfer layer typically,
hard baked novolak resins. The thin top layer is imaged and developed. Then, an O

2

RIE

etch is used to transfer the pattern through the underlying novolak-based film. Resist
lines have been printed 800 nm high and 40 nm wide (15:1 aspect ratio) using a 140-
nm-thick HSQ film coated on 900 nm of novolak resin and exposed at 1000 mC/cm

2

(50 kV) [44]. The resolution is a tribute to the lithography and the aspect ratio demon-
strated by high anisotropic etching.

A similar HSQ bilayer resist scheme has been used for low voltage applications.

Typically, new e-beam exposure systems are developed with higher voltages to produce
stiffer beams capable of higher resolution. However, multi-beam e-beam systems are
developed that utilize low voltages to increase throughput. Low voltages produce much
broader e-beam distributions (forward scatter), which have a very thin penetration depth
for imaging. This application requires very thin resists. Dense resist lines have been
imaged down to around 30 nm using a 24-nm-thick HSQ layer coated on 100- to
400-nm-thick novolak transfer layers (44 mC/cm

2

at 1 kV) [45]. HSQ is still used only in

development, but this inorganic and high etch resistant material has demonstrated high-
resolution capabilities.

15.3.2 Chemically Amplified Resists

Increases in circuit density and speed demand higher resolution from both the resists
used in device manufacturing and naturally as well from the resists used to print photo-
masks. Although the standard fourfold reduction employed in convention projection
lithography indicates a relaxed resolution-demand for photomask imaging, the low k

1

,

FIGURE 15.9
HSQ is an inorganic oxide that forms an insoluble net-
work or negative tone resist upon exposure (redrawn).

Si

O

O

Si

O

H

H

Si

O

Si

H

H

Si

O

O

Si

O

H

H

Si

O

Si

H

H

O

O

O

O

Rizvi / Handbook of Photomask Manufacturing Technology DK2192_c015 Final Proof page 332 7.3.2005 6:26pm

© 2005 by Taylor & Francis Group.

background image

sub-half wavelength regime the industry is currently operating in requires significant
resolution enhancement techniques (RETs), such as optical proximity correction (OPC)
and the use of subresolution assist features (SRAFs) [46,47]. The critical dimensions of
such assist features are closely approximating those of the device features on the wafer
and as such there is ever-increasing demand for very high resolution resists for mask-
building applications. While many of the nonamplified resists presented in the previous
section can certainly accommodate this high-resolution criterion, they do so only at
relatively high doses, thereby extending write times and limiting throughput. Following
the trend adopted by device manufacturing since the mid-1980s, mask makers are looking
more and more to CARs as a solution to high sensitivity, high resolution photomask
imaging.

First proposed in the early 1980s by researchers at IBM [48–50], CARs are based on the

radiation-induced generation of a catalytic species, usually strong acid, which brings
about multiple chemical transformations that change the solubility of the polymeric
matrix of the resist in developer, usually standard aqueous TMAH solution. CARs are
available in both positive tone and negative tone versions, and have been developed for
use with a variety of imaging wavelengths (257, 248, 193, and 157 nm), as well as with
ionizing radiation sources, such as e-beams, x-rays, or soft x-rays (extreme ultraviolet or
EUV). However, while there are ample material selections available for auditioning for
mask making with laser or e-beam tools, resist companies offer relatively few formula-
tions specifically designed for this application, as the low volume-demand has made it
prohibitively expensive to devote substantial research investments towards this en-
deavor. Nonetheless, a variety of high performance CAR formulations have emerged
from adaptations of optical resists that are extending the complexity of masks, which in
turn allow for very low k

1

lithography with the state-of-the-art, high NA steppers.

15.3.2.1 Positive Tone Chemically Amplified Resists

Device manufacturing has adopted the use of CARs since the 1980s, as these materials
afford the resolution and sensitivity requirements needed for high throughput scaling of
microelectronic features. The majority of the commercially available CARs are positive
tone in nature. Recently, the mask making industry has focused on the use of these
materials. The basis of a positive tone resists is that of the radiation-induced deprotection
of an aqueous base soluble that is partially blocked with a labile protecting group. This
deprotection induces the solubility of the exposed regions of the resist in developer. The
first CARs reported were based on protected poly(p-hydroxystyrene) and were designed
to be used with the 248-nm DUV radiation provided by mercury arc lamps or the KrF
laser [48–50]. Subsequently, positive tone CARs have been developed for 193-nm (ArF)
and 157-nm (F

2

) radiations as well. For applications with 257-nm lasers, the resists

designed for KrF lithography often provide similar performance at this slightly longer
wavelength. Interestingly, these are the resists that have also proven to be most suitable
for e-beam exposure as well. While the similarity in wavelengths makes the utility with
257-nm lasers somewhat predictable, the usefulness of 248-nm CARs with EBL appears to
be largely attributable to the ability of the PHS matrix to provide an efficient source for
matrix sensitization while the corresponding acrylates or cyclic olefins used in 193- and
157-nm positive tone CARs undergo a combination of chain scission and recombination,
rather than deprotection, and result in difficult to control and/or insensitive materials.
What follows is a brief survey of the positive CAR formulations that have been specific-
ally adapted for applications in mask making with either laser or e-beam mask writers.

There are two general classes of positive tone CARs, those that require a PEB to

accelerate deprotection and those that do not require PEB. These two classes will be

Rizvi / Handbook of Photomask Manufacturing Technology DK2192_c015 Final Proof page 333 7.3.2005 6:26pm

© 2005 by Taylor & Francis Group.

background image

designated as high-activation and low-activation energy resists, respectively, although
these classifications are actually an oversimplification as some resists that require PEB are
more correctly defined as medium or even low activation energy. The majority of com-
mercially available resist fall into the prior category and are derivatives of materials
originally designed for microelectronics patterning with 248-nm photolithography.

Among these are the UV-series available from Shipley Company. These materials, such

UV-II HS, UV-6, and UV-110 have been shown to be useful for both e-beam and 257-nm
laser mask writing. These systems are based on the environmentally stable chemically
amplified photoresist (ESCAP) platform originally described by Ito from IBM [51]. This
family or resists comprises a copolymer of hydroxy(styrene) and t-butyl acrylate, which
results in very high contrast between exposed and unexposed areas, due to the dramatic
difference in dissolution rates between the t-butyl ester and the corresponding depro-
tected carboxylic acid. These resists offer, as the name indicates, a significant improve-
ment in stability to airborne contaminants that can lead to postexposure delay effects.
This results from their ability to be processed such that they take advantage of the
‘‘annealing effect’’ to minimize the efficacy of amines or other contaminants from pene-
trating the film surface. One reported example of the use of UV-5 for e-beam radiation
demonstrated dense 120-nm features with a 50-keV mask writer [52].

Conversely, some CAR formulations have been designed specifically for e-beam appli-

cations. Some of these materials have garnered considerable attention for photomask
manufacturing. Among the notable examples in this category are the FEP materials
developed by Fuji-Arch, the REAP series from TOK, and the DX family of resists from
Clariant. For example, recently the high level of performance of FEP-171 has been
reported for 90-nm reticle production with e-beam exposure [53], while process optimiza-
tion of Clariant’s DX1100P was recently reported with DUV laser writing [54].

In the category of the PEB-free resists, one well-documented example is the KRS family

of materials developed by IBM. These materials are based on partially protected PHS
(ketal blocking groups). They have been reported to offer high resolution coupled with
enhanced cross-plate dimensional uniformity attributable to the independence of baking
inhomogeneities encountered during processing of quartz mask blanks [54,55]. Further-
more, derivative formulations of KRS materials have developed that offer enhanced
throughput via higher sensitivity by formulation optimization [56].

15.3.2.2 Negative Tone Chemically Amplified Resists

Like their NCA analogs, most negative tone CARs are based on cross-linking. The
difference is in the underlying mechanism by which this cross-linking occurs and, in
turn, the performance attributes that result from this mechanism. Being CARs, these
materials are also based on radiation-induced formation of acid and a subsequent acid
catalyzed chemical reaction that modifies the dissolution characteristics in the exposed
areas. Thus, negative tone CARs offer high sensitivity akin to the positive tone. In these
systems, the inherent unexposed polymer film is soluble in aqueous base, and the acid
catalyzed reaction enables covalent bond formation between polymer chains and multi-
functional cross-linking agents that are formulated with the polymer.

Again, similar to the positive tone CARs, resists first developed for 248-nm photolith-

ography have also found acceptance as e-beam or 257-nm laser resists for mask making.
The most widely reported are UVN-30 material from Shipley and NEB Series from
Sumitomo. These materials are proprietary formulations based on acid catalyzed cross-
linking of poly(p-hydroxystyrene) and as such provide sufficient etch resistance to chlor-
ine etching. For example, both high resolution and sensitivity have been reported with
NEB-22 by researchers at Motorola and Cornell [57,58]. They offer a high performance

Rizvi / Handbook of Photomask Manufacturing Technology DK2192_c015 Final Proof page 334 7.3.2005 6:26pm

© 2005 by Taylor & Francis Group.

background image

alternative to positive tone resists that is particularly attractive for masks with large clear
areas, as the write times can be significantly lowered when using this tone of resist. These
materials are useful in the manufacturing of complimentary mask sets, where a positive
tone resist would otherwise be required to generate a bright-field mask, leading to
prolonged write times.

15.4

Resist Implementation Challenges

15.4.1 Resist–Blank Interactions

15.4.1.1 Nature of Photomask Blanks
The composition of a photomask blank plays a role in the resist and etch pattern fidelity.
Photomask blanks are made of quartz coated with an opaque film. The opaque film is a
heterogeneous layer consisting of chromium oxide, chromium, carbon, and nitrogen [12].
Chromium oxide makes the substrate antireflective to reduce flare in scanners and to
partially reduce reflections for mask laser writers. Carbon was originally introduced to
control or slow down wet etch rates. However, the recent transition to dry etch processes
warrants a reexamination of the concentration of carbon needed for etch control. Nitrogen
is used as a carrier gas during the chromium deposition process. The concentration of
each component in the opaque film impacts the chemical and physical interactions
between a resist and mask blank. Thus, the antireflection layers play an important role
in mask patterning.

15.4.1.2 Resist Footing

One of the interactions between the mask blank and resist is resist ‘‘footing.’’ Resist
footing is commonly attributed to a combination of chemical effects [59] and exposure
effects like optical interference from reflections with the substrate [12]. Chemically
induced resist footing has increased with the use of CARs. It has been hypothesized
that the nitride in the chromium oxynitride film creates a reaction pathway for amine
formation at the substrate surface. Amines at the substrate-resist interface can neutralize
the acid generated from the exposure of the CAR. Neutralization of the acid prevents
polymer deprotection and hence dissolution thereby producing a resist foot in a positive
resist. Others have suggested that the high surface energy of the chromium oxynitride
induces inhomogeneous dissolution behavior that manifests itself as a footing after
development [56].

Resist footing in CARs has been observed on silicon nitride substrates in integrated

circuit (IC) manufacturing [60,61]. The IC industry has reduced substrate-based resist
footing through the use of organic BARCs and oxide cap deposition. Both methods are
designed to provide a barrier between the substrate and resist. The mask industry has
demonstrated that a barrier approach using an organic BARC reduced chemical footing
on a photomask substrate using e-beam lithography [62]. The implementation of an
organic BARC is really gated by the ability to coat defect-free films. The use of an oxide
cap on a photomask is challenging, since it will impact the reflectivity properties (flare)
of the mask substrate. The impacts of substrate components like nitrogen, as well as of
barrier layers, are still evaluated to reduce resist footing. The mask industry also con-
tinues to evaluate new CARs that are less sensitive to substrate and environmental amine
contamination.

© 2005 by Taylor & Francis Group.

background image

15.4.2 Resist Outgassing

The outgassing of organic materials during the exposure process has come under a great
deal of scrutiny in recent years, as volatile by-products can potentially deposit on col-
umns of e-beam systems or coat the optical elements of a laser mask writer. This
phenomenon is of particular concern with positive tone CARs, as these systems involve
the radiation-induced degradation of photoacid generators and the subsequent deprotec-
tion of acid labile groups — two reactions are known to form volatile species, and in some
cases, highly reactive species. A variety of studies have been conducted, most notably at
MIT-Lincoln Labs [63], which show that in most cases the primary source of detected
outgassed species generated during exposure of resists is attributable to the PAG decom-
position. Additionally, it has been demonstrated that through careful selection of resist
components, including the deprotection groups of the polymeric matrix, resist outgassing
can be contained in some cases below the detection limits of the analysis. Among current
materials research in this area, activities at the University of Texas are focused on the
development of low outgassing systems, such as mass-persistent materials, that are not
designed to form volatile species upon deprotection.

15.4.3 Mask Shop Issues

The successful integration of a resist process into mask manufacturing requires adequate
blank storage, as well as uniform coating and baking conditions, to provide high line-
width control and low defectivity. Traditionally, resists have been precoated on blanks by
a supplier and shipped to mask manufactures for patterning. NCA resists have been
widely precoated on blanks due to their long postcoat stability. However, CARs have
been more challenging to precoat and store on blanks due to their high sensitivity to
environmental contaminants, such as amines.

Mask blank vendors have determined that nitrogen purged storage of precoated CARs

is required to meet 10-nm linewidth control specifications over a 4-week period [59]. The
most stable CARs show at least 20-nm linewidth changes over a month stored in common
packaging without nitrogen purging. The use of precoated resist blanks using CARs will
require thorough studies on postcoat delay stability and blank storage protocols to
consistently meet stringent linewidth control requirements.

The integration of CARs has challenged mask makers to consider coating on demand.

Coating-on-demand processes require resist thickness and bake temperature uniformity
control. Uniform resist thickness is achieved through a balance of exhaust flow, spin
speed, and air flow control during the rotation of the blank. Bake temperature uniformity
is difficult to achieve across the surface of a thick quartz blank, since quartz has poor heat
conductive properties. Multizone hot plates have been developed to provide more uni-
form bake temperatures during the ramp and equilibrium periods of the bake process.
The uniformity of the postapplication and postexposure bakes can be tested on unex-
posed resist films using dark erosion techniques [64]. The bake uniformity is measured
indirectly through the resist thickness uniformity after a long develop process. The resist
remaining after development is related to the residual casting solvent concentration left in
the resist film after the bake.

The integration of CARs challenges mask makers to use more integrated tool sets like

cluster tools, as well as SMIF pods, for blank storage and processing. This high level of
integration is needed to reduce defectivity and to enable linewidth scaling demonstrated
by e-beam and laser beam mask lithography.

Development of advanced resists for mask making represents a serious dilemma for the

semiconductor industry. Mask making is an ever-increasing component to the cost of

© 2005 by Taylor & Francis Group.

background image

device manufacturing and as such should demand the focused attention of the resist
materials development community. However, the volumes of resists that are sold for
mask making are so low that it is not possible to make a business case for the recovery
of the development costs. Hence, the resist suppliers cannot and should not expend
resources on mask resist development. Consequently, this development activity needs
to be subsidized by the end users or it is not likely to occur. We are pleased to report that
the research consortia, including the Semiconductor Research Corporation (SRC) and
SEMATECH, are now funding small research efforts for these activities.

References

1. F. Abboud, K. Baik, V. Chakarian, D.M. Cole, R.L. Dean, M.A. Gesley, H. Gillman, W.C. Moore,

M. Mueller, R. Naber, T.H. Newman, R. Puri, F. Raymond, and M. Rougieri, Proc. SPIE, 4754,
704–715 (2002).

2. Y. Hattori, M. Kiyoshi, A. Ken-ichi, Y. Takayuki, U. Satoshi, M. Taiga, N. Eiji, N. Shimomura,

T. Yamashita, N. Yamada, A. Sakai, H. Honda, T. Shimoyama, K. Nakaso, H. Inoue, Y. Onimaru,
K. Makiyama, Y. Ogawa, and T. Takigawa, Proc. SPIE, 4754, 696–703 (2002).

3. H. Takemura, H. Ohki, and M. Isobe, Proc. SPIE, 4754, 689–695 (2002).
4. T.R. Groves, J. Vac. Sci. Technol. B., 14, 3839–3844 (1996).
5. S. Babin, J. Vac. Sci. Technol. B., 21 (1), 135–140 (2003).
6. S. Babin, J. Vac. Sci. Technol. B., 15 (6), 2209–2213 (1997).
7. C.H. Hamaker and P.D. Buck, Proc. SPIE, 3236, 42–54 (1997).
8. C.G. Morgante and C.H. Hamaker, Proc. SPIE, 4066, 613–623 (2000).
9. M. Bohan, C.H. Hamaker, and W. Montgomery, Proc. SPIE, 4562, 16–37 (2002).

10. B.M. Rathsack, P.I. Tattersall, C.E. Tabery, K. Lou, T.B. Stachowiak, D.R. Medeiros, J.A. Albelo,

P.Y. Pirogovsky, D.R. McKean, and C.G. Willson, Proc. SPIE, 4345, 543–556 (2001).

11. S.E. Fuller, W. Montgomery, J.A. Albelo, W. Rodrigues, and A.H. Buxbaum, Proc. SPIE, 4409,

306–311 (2001).

12. B.M. Rathsack, C.E. Tabery, J.A. Albelo, P.D. Buck, and C.G. Willson, Proc. SPIE, 4186, 578–588

(2000).

13. T. Sandstrom, T.I. Fillion, U.B. Ljungblad, and M. Rosling, Proc. SPIE, 4409, 270–276 (2001).
14. T. Sandstrom and N. Eriksson, Proc. SPIE, 4889, 157–167 (2002).
15. B.M. Rathsack, C.E. Tabery, S.A. Scheer, C.L. Henderson, M. Pochkowski, C. Philbin, P.D. Buck,

and C.G. Willson, Proc. SPIE, 3678, 1215–1226 (1999).

16. C.G. Willson, in: Introduction to Microlithography, Second Edition, American Chemical Society,

Washington, D.C., 1994 (Chapter 3).

17. W.M. Moreau, Semiconductor Lithography Principles, Practices and Materials, First Edition, Plenum

Press, New York, 1988.

18. H. Hiroaka, Macromolecules, 9, 359 (1976).
19. A. Uhl, J. Bendig, J. Leistner, U. Jagdhold, L. Bauch, and M. Bottcher, J. Vac. Sci. Technol. B., 16

(6), 2968–2973 (1998).

20. D.G. Hasko, S. Yasin, and A. Mumtaz, J. Vac. Sci. Technol. B., 18 (6), 3441–3444 (2000).
21. W. Chen and H. Ahmed, J. Vac. Sci. Technol. B., 11 (6), 2519–2523 (1993).
22. M.F. Bowden, L.F. Thompson, and J.P. Ballantyne, J. Vac. Sci. Technol., 12 (6), 1294–1296

(1975).

23. J. Brown and J. O’Donnell, Polymer, 22, 71 (1981).
24. H. Kobayashi, T. Higuchi, K. Asakawa, and Y. Yokoya, Proc. SPIE, 3236, 498–510 (1997).
25. W.P. Shen, J. Marra, and D.V.D. Broeke, Proc. SPIE, 2884, 48–67 (1996).
26. M. Bowden, L. Thompson, S. Farenholtz, and F. Doerries, J. Electrochem. Soc., 128, 1304 (1981).
27. Y.Y. Cheng, B.D. Grant, L.A. Pederson, and C.G. Willson, International Business Machines

Corporation, U.S. Patent 4,398,001, 1983.

© 2005 by Taylor & Francis Group.

background image

28. D.R. Medeiros, A. Aviram, C.R. Guarnieri, W.S. Huang, R. Kwong, C.K. Magg, A.P. Mahor-

owala, W.M. Moreau, K.E. Petrillo, and M. Angelopoulos, IBM J. Res. Dev., 45 (5), 639–650 (2001).

29. F. Abboud, R. Dean, J. Doering, W. Eckes, M. Gesley, U. Hofmann, T. Mulera, R. Naber,

M. Pastor, W. Phillips, J. Raphael, R. Raymond, and C. Sauer, Proc. SPIE, 3096, 116 (1997).

30. C. Constantine, D.J. Johnson, R.J. Westerman, T. Coleman, T. Faure, and L. Dubuque, Proc. SPIE,

3236, 94–103 (1997).

31. P.D. Buck, A.H. Buxbaum, T.P. Coleman, and L. Tran, Proc. SPIE, 3412, 67–78 (1998).
32. R. Dammel, Diazonaphthoquinone-based Resists, vol. TT 11, SPIE Optical Engineering Press, 1993.
33. C.H. Hamaker, G.E. Valetin, J. Martyniuk, B.G. Martinez, M. Pochkowski, and L.D. Hodgson,

Proc. SPIE, 3873, 49–63 (1999).

34. K. Uenishi, Y. Kawabe, T. Kokubo, S. Slater, and A. Blakeney, Proc. SPIE, 1466, 102–116 (1991).
35. C.L. McAdams, L.W. Flanagin, C.L. Henderson, A.R. Pawloski, P. Tsiartas, and C.G. Willson,

Proc. SPIE, 3333, 1171–1179 (1998).

36. B.M. Rathsack, Photoresist Modeling for 365 nm and 257 nm Laser Photomask Lithography and

Multi-analyte Biosensors Indexed through Shape Recognition, Ph.D. dissertation, 2001.

37. C.G. Willson, in: Introduction to Microlithography, Second Edition, American Chemical Society,

Washington, D.C., 1994 (Chapter 3).

38. Y. Tabata, S. Tagawa, and M. Washio, in: L.F. Thompson, C.G. Willson, and J.M.J. Frechet (Eds.),

Materials for Microlithography, ACS Symposium Series 266, American Chemical Society,
Washington, D.C., 1984, 151–163.

39. Y.K. Siew, G. Sarkar, X. Hu, J. Hui, A. See, and C.T. Chua, J. Electrochem. Soc., 147, 335–339

(2000).

40. H. Namatsu, Y. Takahashi, K. Yamazaki, T. Yamaguchi, M. Nagase, and K. Kurihara, J. Vac. Sci.

Technol. B., 16 (1), 69–76 (1998).

41. D.P. Mancini, K.A. Gehoski, E. Ainley, K.J. Nordquist, D.J. Resnick, T.C. Bailey, S.V. Sreeniva-

san, J.G. Ekerdt, and C.G. Willson, J. Vac. Sci. Technol. B., 20 (6), 2896–2901 (2002).

42. H. Namatsu, Y. Watanabe, K. Yamazaki, T. Yamaguchi, M. Nagase, Y. Ono, A. Fujiwara, and

S. Horiguchi, J. Vac. Sci. Technol. B., 21 (1), 1–5 (2003).

43. Falco C.M.J.M. van Delft, J. Vac. Sci. Technol. B., 20 (6), 2932–2936 (2002).
44. Falco C.M.J.M. van Delft, J.P. Weterings, A.K. van Langen-Suurling, and H. Romijn, J. Vac. Sci.

Technol. B., 18 (6), 3419–3423 (2000).

45. A. Jamieson, C.G. Willson, Y. Hsu, and A. Brodie, Proc. SPIE, 4690, 1171–1179 (2002).
46. J.F. Chen, T.L. Laidig, K.E. Wampler, R.F. Caldwell, A.R. Naderi, and D.J. Van Den Broeke, Proc.

SPIE, 3236, 382–396 (1997).

47. W. Maurer and C. Freidrich, Proc. SPIE, 3546, 232–241 (1998).
48. H. Ito, C.G. Willson, and J.M.J. Frechet, Digest of Technical Papers of 1982 Symposium on VLSI

Technology, 1982, pp. 86–87.

49. H. Ito and C.G. Willson, Technical Papers of SPE Regional Technical Conference on Photopo-

lymers, 1982, pp. 331–353.

50. H. Ito and C.G. Willson, US Patent 4,491,628, 1985.
51. W. Conley, B. Brunsvold, F. Buehrer, R. Dellaguardia, D. Dobuzinsky, T. Farrell, H. Ho,

A. Katnani, R. Keller, J. Marsh, P. Muller, R. Nunes, H. Ng, J. Oberschmidt, M. Pike, D. Ryan,
T. Cottler-Wagner, R. Schulz, H. Ito, D. Hofer, G. Breyta, D. Fenzel-Alexander, G. Wallraff,
J. Opitz, J. Thackeray, G. Barclay, J. Cameron, T. Lindsay, M. Cronin, M. Moynihan, S. Nour,
J. Georger, M. Mori, P. Hagerty, R. Sinta, and T. Zydowsky, Proc. SPIE, 3049, 282–299 (1997).

52. C.M. Falco, J.M. van Delft, and F.G. Holthuysen, Microelectron. Eng., 46, 383 (1999).
53. J. Butschke, D. Beyer, C. Constantine, P. Dress, P. Hudek, M. Irnscher, C. Koepernik, C. Krauss,

J. Plumhoff, and P. Voehringer, Proc. SPIE, 5256, 334–354 (2003).

54. H.A. Fosshaug, A. Bajramovic, J. Karlsson, K. Xing, A. Rosendahl, A. Dahlberg, C. Bjoernberg,

M. Bjuggren, and T. Sandstrom, Proc. SPIE, 5256, 355–365 (2003).

55. W.-S. Huang, R.W. Kwong, W.M. Moreau, M. Chace, K.Y. Lee, C.K. Hu, D. Medeiros, and

M. Angelopoulos, Proc. SPIE, 3678, 1052–1058 (1999).

56. D.R. Medeiros, J. Photopolym. Sci. Technol., 15, 411–416 (2002).
57. D.R. Medeiros, K.E. Petrillo, J. Bucchignano, M. Angelopoulos, W.-S. Huang, W. Li,

W. M. Moreau, R. Lang, R.W. Kwong, C. Magg, and B. Ashe, Proc. SPIE, 4562, 552–560 (2002).

Rizvi / Handbook of Photomask Manufacturing Technology DK2192_c015 Final Proof page 338 7.3.2005 6:26pm

© 2005 by Taylor & Francis Group.

background image

58. E. Ainley, K. Nordquist, D.J. Resnick, D.W. Carr, and R.C. Tiberio, Microelectron. Eng., 46,

375–378 (1999).

59. M. Hashimoto, H. Kobayashi, and Y. Yokoya, Proc. SPIE, 4186, 561–577 (2000).
60. M. Mori, T. Watanabe, K. Adachi, T. Fukushima, K. Uda, and Y. Sato, Proc. SPIE, 2724, 131–138

(1996).

61. J. Chun, C. Bok, and K. Baik, Proc. SPIE, 2724, 92–99 (1996).
62. M. Hashimoto, F. Ohta, Y. Yokoya, and H. Kobayashi, Proc. SPIE, 4409, 312–323 (2001).
63. R.R. Kunz and D.K. Downs, J. Vac. Sci. Technol. B., 17, 3330 (1999).
64. M. Hashimoto, F. Ohta, Y. Yokoya, and H. Kobayashi, Proc. SPIE, 4562, 682–693 (2002).

© 2005 by Taylor & Francis Group.


Document Outline


Wyszukiwarka

Podobne podstrony:
Genomes3e ppt ch15
Ch15 Chains
Ch15 Table Driven Parts
ch15
ch15
CH15
Ch15 pg511 526
Genomes3e ppt ch15
DK2192 CH9
budynas SM ch15
DK2192 CH28
DK2192 CH20
From NY 3 18 05 Ch15 16 Sauter mbw
DK2192 CH1
Ch15 10
Ch15 09
DK2192 CH13

więcej podobnych podstron