WDA sprawozdanie1

WOJSKOWA AKADEMIA TECHNICZNA

Laboratorium z przedmiotu

Wprowadzenie do automatyki

SPRAWOZDANIE

Programowanie sterowników – komunikacja z użytkownikiem z poziomu pulpitu operatorskiego

Piotr Rusinowski

I7X6S1

Prowadzący

mgr inż. Małgorzata Rudnicka - Schmidt

  1. Opis ćwiczenia

  1. Odnaleźć w kodzie ASCII swoje inicjały i zapisać je binarnie (tylko 4 najmniej znaczące bity). Zaprojektować układ, który po naciśnięciu pierwszego przycisku powoduje wyświetlenie pierwszej litery, analogicznie dla drugiego przycisku. Wykonać:

    • tabelę prawdy;

    • wyrażenie opisujące (zapis funkcji);

    • schemat logiczny.

b) Podobnie jak w pierwszym zadaniu z tą różnicą, że układ posiada 4 wejścia i 2 wyjścia (tzn. jaki przycisk został wciśnięty dla określonej litery).

  1. Zakodowane inicjały

Inicjały, które przyszło mi zakodować to PR. Ale z racji tego, iż litera P ma w kodzie ACSII kod równy 50, co po przekonwertowaniu na system binarny daje nam wartość 0101 0000 była nie możliwa do zaprogramowania więc zamiast niej wybrałem literę S. Kod ASCII litery S to 53, zaś dla litery R jest to 52.

Liczba 53 binarnie to 0101 0011 – podkreślone cyfry to 4 bity, o które chodzi w treści zadania.

Dla liczby 52 binarny odpowiednik to 0101 0010 – podobnie jak wyżej interesujące są 4 ostatnie bity.

  1. Zadanie 1

    1. Tabela prawdy

I1 I2 Q1 Q2 Q3 Q4
1 0 0 0 1 1
0 1 0 0 1 0
1 1 0 0 0 0
0 0 0 0 0 0
  1. Zapis funkcji

  1. Zadanie 2

    1. Tabela prawdy

I1 I2 I3 I4 Q1 Q2
0 0 0 0 0 0
0 0 0 1 0 0
0 0 1 0 0 1
0 0 1 1 1 0
0 1 0 0 0 0
0 1 0 1 0 0
0 1 1 0 0 0
0 1 1 1 0 0
1 0 0 0 0 0
1 0 0 1 0 0
1 0 1 0 0 0
1 0 1 1 0 0
1 1 0 0 0 0
1 1 0 1 0 0
1 1 1 0 0 0
1 1 1 1 0 0
  1. Zapis funkcji

  1. Wnioski

Program LOGO!Soft pozwala na wygodne projektowanie układów sterowani. Praca w nim była bardzo kształcąca, ponieważ pozwala nam na zaprogramowanie sterownika od podstaw. Należy jednak uważać, ponieważ bramki AND mają 3 wejścia i trzeba dokładnie wypełnić wszystkie pola. Jednak nawet gdy się o tym zapomnimy program nie dopuści do zakończenia pracy bez uzupełnienia wymaganych pól.


Wyszukiwarka

Podobne podstrony:
WDA sprawozdanie1
wda sprawozdanie
WDA sprawozdanie1, WAT, SEMESTR II, WDA
Sprawozdanie LAB5 WDA
2 definicje i sprawozdawczośćid 19489 ppt
PROCES PLANOWANIA BADANIA SPRAWOZDAN FINANSOWYC H
W 11 Sprawozdania
Wymogi, cechy i zadania sprawozdawczośći finansowej
Analiza sprawozdan finansowych w BGZ SA
W3 Sprawozdawczosc
1 Sprawozdanie techniczne
Karta sprawozdania cw 10
eksploracja lab03, Lista sprawozdaniowych bazy danych
2 sprawozdanie szczawianyid 208 Nieznany (2)
Fragmenty przykładowych sprawozdań
Lab 6 PMI Hartownosc Sprawozdan Nieznany
Mikrokontrolery Grodzki Sprawoz Nieznany

więcej podobnych podstron