WYKLAD5 Kwantowanie sygnałów


KWANTOWANIE  przyporządkowanie kolejnym
próbkom określonych wartości zmiennej dyskretnej.
N
111
110
101
100
011
010
Q
001
Uwe
000
FS

Q/2
-Q/2
Charakterystyka układu kwantującego
Rozdzielczość  liczba stanów wyjściowych - zwykle
określana liczbą bitów n słowa wyjściowego. Miarą
rozdzielczości jest przedział kwantowania Q, który
można obliczyć dzieląc zakres wejściowy FS przetwor-
nika analogowo-cyfrowego przez liczbę przedziałów:
Przy kodowaniu binarnym:
FS
Q =
2n
113
Kwantowanie wprowadza błąd kwantowania, czyli
różnicę między wartością rzeczywistą sygnału
analogowego a wartością wyjściową, która zwykle
mieści się w zakresie:
Q
 d" ą
2
Błąd kwantowania traktowany jako dodatkowy sygnał
zakłócający nazywany jest szumem kwantowania.
Wartość średnia szumu kwantowania jest równa zero a
wartość skuteczna:
Q
2
'"
ł ł
1 1 Q3 Q3 ł Q
2
ł
 = + =
+" dx = ł ł
Q 3"Q 8 8
2 3
Q
ł łł
-
2
Dynamika układu kwantującego SNR (ang. Signal to
Noise Ratio) to stosunek wartości skutecznej sygnału
do wartości skutecznej szumu kwantowania:
'"
łU ł
SNR = 20log10ł ł [dB]
'"
ł ł
ł ł

ł łł
Wyznaczmy SNR dla sygnału sinusoidalnego:
u(t) = A " sin( f )
Wartość skuteczna takiego sygnału wynosi:
'"
A
U =
2
114
Zatem w ogólnym przypadku dynamika jest równa:
ł ł
A 2 3
ł
SNR = 20" log10ł "
ł ł
Q
2
ł łł
Największy stosunek sygnału sinusoidalnego do szumu
ma miejsce wtedy, gdy amplituda sygnału jest
największa, jaką można bez obcinania przetworzyć przy
pomocy przetwornika o zakresie FS, czyli wynosi:
FS Q " 2n
AMAX = =
2 2
wtedy:
ł ł
Q " 2n 2 3
ł
SNRMAX = 20log10ł "
ł ł
Q
2 " 2
ł łł
ł ł
3 3
ł
SNRMAX = 20log10ł2n " = n " 20log10 2 + 20 log
ł ł
2 2
ł łł
Ostatecznie największą dynamikę można obliczyć wg
prostej zależności liniowej:
SNRMAX = 6,02" n +1,76
Liczba bitów efektywnych neff pozwala ocenić
dokładność kwantowania przyrządu na podstawie
rzeczywistej, zmierzonej wartości SNR:
SNR -1,76
neff =
6,02
115
KODOWANIE  przyporządkowanie cyfrowych słów
poszczególnym poziomom kwantowania
Naturalny kod dwójkowy (binarny)  przedstawia
liczby z przedziału (0, FS) w postaci:
N = FS "( a12-1 + a22-2 +K+ an-12-( n-1 ) + an 2-n )
Bit pierwszy z lewej a1 jest bitem najbardziej znaczącym
(MSB - Most Significant Bit) o wadze równej FS.
Bit pierwszy z prawej an jest bitem najmniej znaczącym
(LSB - Least Significant Bit) o wadze równej 2-n FS.
FS
1LSB =
2n
Słowo kodowe złożone z samych jedynek nie
odpowiada wartości pełnego zakresu przetwarzania FS,
lecz wartości mniejszej o wagę LSB tzn. (1-2-n)FS, np.:
dla: n=12, FS=010V
zapis: N=1111 1111 1111, odpowiada wartości
napięcia: U= (1-2-12)10V=9,99756V
W przetwornikach bipolarnych występuje konieczność
zapisu znaku i kodowania liczb ujemnych. W tym celu
stosuje się najczęściej jeden z trzech następujących
sposobów:
- przesunięty kod dwójkowy,
- zapis uzupełnieniowy do dwóch,
- zapis znak  moduł.
116
Kod dwójkowy z przesunięciem - kodowanie jak w
kodzie naturalnym przy przesuniętym o połowę zakresie
przetwarzania, czyli o wartość MSB.
Kod uzupełnieniowy do dwóch  suma dwóch słów
kodowych, odpowiadających identycznym co do modułu
wartościom analogowym ale o różnych znakach, wynosi
zero (plus przeniesienie), np.:
ź FS = 0010 0000
- ź FS = 1110 0000
suma = 1 0000 0000
2. przesunięty
uzup. do 2
znak-moduł
N
111
011
111
110
010
110
101
001
101
100
000
100
111
011 001
110
010 010
101
001 011
Uwe
000
100
-
FS
-FS
Różne sposoby kodowania w przypadku
bipolarnego przetwornika A/C
117
Kod binarny typu znak i moduł  napięciom dodatnim
i ujemnym o jednakowej amplitudzie odpowiadają
identyczne słowa kodowe z wyjątkiem bitu znaku. Dwa
słowa kodowe odpowiadają napięciu zerowemu (0+,0-).
Maksymalne napięcia wejściowe wynoszą ą(FS-1LSB).
Do kodowania liczb dziesiętnych stosuje się tzw. kody
dziesiętno-dwójkowe (BCD - Binary Coded Decimal),
w których każda cyfra dziesiętna zostaje zastąpiona
przez czterocyfrową liczbę binarną, zwaną tetradą.
Wartość sygnału analogowego odpowiadająca
przedziałowi kwantowania wynosi:
FS
1LSB =
10d
gdzie: d - liczba cyfr dziesiętnych.
Dodanie pojedynczego bitu na pozycji najbardziej
znaczącej, pozwala na dwukrotne zwiększenie zakresu
(dodanie tzw. cyfry), np.:
w przetworniku o rozdzielczości 3 cyfr dziesiętnych,
czyli o zakresie 0999 dodanie 1 bitu daje
rozdzielczość 3 cyfry i rozszerza zakres do 01999.
Dodanie dwóch bitów na pozycji najbardziej znaczącej
zwiększa rozdzielczość o cyfry, np.:
w przetworniku o rozdzielczości 3 cyfr (zakres 0999)
dodanie 2 bitów daje rozdzielczość 3 cyfry (4 razy
rozszerza zakres do 03999).
118
Układ próbkująco-pamiętający (ang. Sample-and-
Hold Circuit - S/H) służy do pobrania chwilowej wartości
napięcia oraz do jej zapamiętania na okres czasu
niezbędny do konwersji w przetworniku A/C.
uwy
uwe
C
sterowanie
Moment pobrania próbki określony jest przez układ
sterujący przełącznikiem. Pamiętanie próbki polega na
utrzymywaniu ładunku elektrycznego na kondensatorze
pamiętającym C.
czas ustalania
uwe
spadek napięcia
uwy
na kondesatorze
czas
czas apertury
akwizycji
próbkowanie
pamiętanie
pamiętanie
119
Długość czasu akwizycji (czasu przyjęcia próbki)
zależy od żądanej dokładności odwzorowania napięcia
wejściowego. Czas akwizycji można skrócić przez
zmniejszanie pojemność kondensatora pamiętającego,
ale powoduje to zwiększenie spadku napięcia w
stanie pamiętania. Dlatego pojemność kondensatora
musi być na tyle duża, żeby błąd spowodowany tym
spadkiem był nie większy niż LSB przetwornika A/C.
Czas przejścia od fazy próbkowania do pamiętania
(czas apertury) może zmieniać się przypadkowo w
pewnych granicach określanych jako drżenie apertury
(apertute jitter). Błędu spowodowanego drżeniem
apertury nie można wyeliminować w przeciwieństwie do
samego czasu apertury, który można korygować przez
odpowiedni dobór chwili zmiany sygnału sterującego.
Czas ustalania (settling time) to czas trwania
oscylacji w początkowej fazie etapu pamiętania.
Inne dodatkowe błędy układu próbkująco - pamiętają-
cego nie zaznaczone na rysunku to:
błąd skokowy (piedestał) występujący na początku
fazy pamiętania  jest to zmiana ładunku na
kondensatorze pamiętającym w momencie rozwarcia
klucza, spowodowana istnieniem pojemności pasożyt-
niczej układu sterującego,
przenikanie sygnału wejściowego na wyjście
układu w fazie pamiętania - powstające w wyniku
pasożytniczych sprzężeń pojemnościowych.
120
Przetworniki analogowo-cyfrowe (Analog to Digital
Converter - ADC) służą do zamiany wielkości mierzonej
o charakterze ciągłym na wielkość dyskretną.
Metody przetwarzania A/C wartości napięcia :
1. Bezpośrednie (porównawcze) - formują sygnał
cyfrowy na podstawie wyniku porównania napięcia
przetwarzanego z wzorcowym
" Bezpośredniego porównania (różnicowe):
Przetwarzania równoległego
Przetwarzania szeregowo - równoległego
" Kompensacyjne (zerowe):
Kompensacji równomiernej
Kompensacji wagowej
Kompensacji wieloprzebiegowej
2. Pośrednie (przetworzeniowo - porównawcze) 
formują wynik cyfrowy dwustopniowo, najpierw
napięcie przekształcają w wielkość pomocniczą
(czas, częstotliwość), potem tą wielkość przetwarzają
na sygnał cyfrowy:
" Czasowe:
Pojedynczego całkowania
Podwójnego całkowania
Poczwórnego całkowania
" Częstotliwościowe:
Równoważenia ładunków
Delta - sigma
121
Przetwornik A/C
UR
równoległy
( Flash Type )
3/2R
Komparatory
Napięcie wejściowe
R
K2n-1
Uwe jest jednocześ-
nie porównywane z
2n-1 poziomami od-
niesienia przy uży-
ciu komparatorów
napięcia. Cyfrowe
stany wyjściowe
R
komparatorów, po
K3
odpowiednim zako-
dowaniu, dają cy-
R
frową informację
K2
wyjściową w kodzie
dwójkowym.
R/2
K1
Uwe
Zaleta: duża szybkość przetwarzania. Wada: duża
liczba komparatorów w przetwornikach wielobitowych.
Przetworniki równoległe mają rozdzielczości od 4 do 12
bitów i częstotliwość przetwarzania do 300MHz.
122
Wyj
ś
cie cyfrowe
Uk
ł
ad dekoduj
ą
cy
Szeregowo - równoległy przetwornik A/C
( Half Flash Type )
U
R
4-bitowy
U we MSB
flash A/C
4 MSBs
4-bit
+
-
C/A
4-bitowy
flash A/C
LSB
4 LSBs
U /16
R
Przetwarzanie odbywa się dwuetapowo: najpierw
konwersja zgrubna, potem konwersja dokładna różnicy
miedzy sygnałem wejściowym a wytworzonym w
ultraszybkim przetworniku C/A napięciem
odpowiadającym wynikowi pierwszego etapu.
Zalety: dużo mniejsza złożoność układu niż w
przypadku przetwornika typu flash o tej samej
rozdzielczości, przy nadal bardzo dużej częstotliwości
przetwarzania dochodzacej do 100MHz.
123
8-bitowy rejestr wyj
ś
ciowy
Przetwornik A/C z kompensacją równomierną
(zliczający - Counter Type)
UR
C/A
UX
UK
Wyjście
cyfrowe
Komparator
Licznik
Zegar
UK
UX
Koniec zliczania
t
Po wyzerowaniu licznika rozpoczyna się zliczanie
impulsów zegarowych i trwa do chwili, gdy napięcie
kompensujące UK przekroczy wartość napięcia
przetwarzanego UX. Czas trwania zlicznia jest
proporcjonalny do wartości napięcia UX.
Wadą metody jest długi czas przetwarzania 
maksymalnie: 2nTw, gdy UX=UR. Przykładowo dla n =10,
fw=50MHz, maksymalny czas przetwarzania wynosi
20ms.
124
Kompensacyjny przetwornik A/C śledzący
(tracking type)
UR
C/A
UX
UK
Wyjście
cyfrowe
Komparator
Licznik
sterowanie
rewersyjny
Zegar
UK
UX
t
Przetwornik śledzący różni się od zliczającego
zastosowaniem licznika rewersyjnego wraz z układem
sterującym. Sygnały zegarowe kierowane są na wejście
zwiększające lub zmniejszające stan licznika w
zależności od tego, jaki znak ma różnica napięć
kompensującego UK i wejściowego UX. Zaletą tego
rozwiązania jest możliwość znacznego zwiększenia
szybkości przetwarzania, ale pod warunkiem, że sygnał
wejściowy nie jest zbyt szybko zmienny.
125
Przetwornik A/C z kompensacją wagową
(Successive Approximation)
UR
C/A
UX
UK
Wyjście
cyfrowe
Komparator
Rejestr
sukcesywnej
Zegar
aproksymacji
UK
10101101
UR
UX
UR
ź UR
1 2 3 4 5 6 7 8
t
Metoda kompensacji wagowej polega na kolejnym
 ważeniu napięcia wejściowego UX przy pomocy
malejących kwantów (UR, źUR,...) napięcia kompen-
sującego UK, których wagi odpowiadają pozycjom
kolejnych bitów. Dzięki temu równoważenie napięcia
wejściowego wymaga tylko n (liczba bitów) porównań.
Przetworniki z kompensacją wagową mają
rozdzielczości od 8 do 16 bitów i częstotliwość
przetwarzania do 5MHz.
126
Przetwornik A/C z podwójnym całkowaniem
(Dual Slope Integrating)
(
R
C
UX
Komparator
UI
UR
Integrator
Zegar Tw
Sterowanie
Licznik
Wyjście cyfrowe
-UI
I całkowanie II całkowanie
Koniec
zliczania
t
T1=Nmax Tw=const. t2=N Tw
Podczas I etapu całkowane jest napięcie UX. Czas T1
wyznaczany przez licznik jest stały. Podczas II etapu
całkowane jest napięcie stałe UR o przeciwnej
polaryzacji do UX a licznik mierzy odcinek czasu, jaki
jest potrzebny do rozładowania kondensatora.
T1U = t2UR
X
t2 U T1 U NmaxTw U
X X X
N = = = = Nmax
Tw URTw URTw UR
127
Bezwzględne wartości rezystancji R, pojemności C
oraz częstotliwości zegara fw =1/ Tw , nie mają wpływu
na dokładność przetwarzania.
Uśrednianie wykonywane w czasie pierwszego
całkowania umożliwia tłumienie zakłóceń okresowych
nałożonych na mierzone napięcie. W tym celu koniecz-
ne jest dopasowanie czasu pierwszego całkowania T1
do okresu zakłóceń Tz lub jego wielokrotności.
-UI
t
T1= Tz
NMRR
[dB]
20
10
T1  okres całkowania
T1/Tz
Tz  okres zakłóceń
0
0,5 1 2 3 4 5
NMRR - współczynnik tłumienia sygnału nałożonego
(Normal Mode Rejection Ratio).
128
Metoda częstotliwościowa przetwarzania A/C
Integrator
Sterowanie
R1
i1 C
UI
i2
UX
K
R2
fX
UR2
Licznik
UK
Układ
formujący
Wyjście cyfrowe
UR
UR2
UI
UK
tr tx
i2 t
W tej metodzie stosuje się równoważenie ładunków
pochodzący ze zródła przetwarzanego napięcia UX
przez impulsy ładunkowe o stałej wartości dostarczane
do integratora.
U U
X R
i "( t + t ) = i "t ( t + t ) = " t
1 x r 2 r x r r
R R
1 2
Częstotliwość impulsów:
1 R 1 U
2 X
f = = " "
x
t + t R t U
x r 1 r R
jest proporcjonalna do napięcia przetwarzanego UX .
129
Przetwornik A/C typu delta-sigma ("- Ł)
Dzielnik
częstotliwości
fC
Generator
fC/k
wzorcowy
UX
Integrator
Licznik
K
Wyjście cyfrowe
C/A
1 bitowy
UR
Modulator
Schemat przetwornika "-Ł z modulacją I -go rzędu
Przetwornik "-Ł składa się z modulatora "-Ł
i cyfrowego filtru dolnoprzepustowego. Modulator "-Ł
wytwarza strumień bitów, którego średnia wartość
reprezentuje poziom sygnału wejściowego. Dokładność
odwzorowania sygnału wejściowego zależy od ilości
impulsów wytworzonego strumienia bitów, a ta zależy
od częstotliwości próbkowania.
W przetwornikach "-Ł stosuje się nadpróbkowanie,
tzn. zwiększenie częstotliwości próbkowania ponad
wartość wynikająca z twierdzenia o próbkowaniu.
130
Sygnał wejściowy i wyjściowy modulatora I -go rzędu
Zwiększanie częstotliwości próbkowania zmniejsza
również wartość szumów przetwarzania, tzn. podnosi
stosunek sygnału do szumu SNR. Efektywniejsze
zmniejszenie szumów można uzyskać stosując
modulator wyższego rzędu.
Wpływ rzędu modulatora "-Ł i nadpróbkowania
na współczynnik SNR
131
Przetwornik A/C potokowy
(pipeline ADC lub subranging ADC)
UX
S1 S2 S3 Sn-1 Sn
a1 a2 a3 an-1 an
Ui-1
Ui
T&H
x2
Ui=2(Ui-1ąUR)
A/C
1 bit
Stopień
+UR -UR
Si
Bit ai
Przetwornik ten składa się z szeregu kolejnych stopni
przetwarzania, z których każdy zawiera układ śledząco
- pamiętający (Track and Hold), oraz przetworniki A/C
i C/A o niskiej rozdzielczości. Przetwornik jednocześnie
przetwarza wiele kolejnych próbek sygnału wejścio-
wego - w każdym stopniu potoku inną. Charakteryzuje
go opóznienie przetwarzania wynikające z pracy
potokowej. Jego zalety to małe zużycie energii i często-
tliwość przetwarzania rzędu 100200 MHz przy
rozdzielczości 1014 bitów.
132
Parametry przetworników A/C i C/A
1. Zakres przetwarzania FS
2. Rozdzielczość  liczba stanów cyfrowych:
określana liczbą bitów n cyfrowego słowa wy/we,
FS FS
"U = = = Q
r
n
N 2
3. Dokładność przetwornika  błąd bezwzględny:
"Ub = Urz -Uideal
lub względny:
"Ub
 =
FS
Dokładność - będąca maksymalną sumą wszystkich
błędów przetwornika - jest zawsze gorsza od jego
rozdzielczości:
"Ub > "Ur
4. Częstotliwość przetwarzania  liczba okresów
przetwarzania na sekundę podawana w próbkach na
sekundę (SPS  samples per second)
5. Stosunek sygnału do szumu SNR
6. Czas ustalania (dla przetworników C/A)  czas od
chwili zmiany sygnału na wejściu do ustalenia się
sygnału na wyjściu z dokładnością równą ą0,5Q
133
N
111
110
101
idealna
100
011
rzeczywista
010
001
Uwe
000
FS
"U0
a)
"U
N
111
"k="U/FS100%
110
101
idealna
100
011
rzeczywista
010
001
Uwe
000
FS
b)
Błędy przetwornika A/C:
a) przesunięcia "U0, b) wzmocnienia "k,
można wyeliminować przez wstępne strojenie.
134
N
111
110
101
INL
100 idealna
011
rzeczywista
010
001
Uwe
000
FS
a)
N
111
wypadanie słowa
110
kodowego
101
rzeczywista
100 idealna
DNL
011
1LSB
010
"LSB
001
Uwe
1LSB
000
FS
b)
Błędy nieliniowości przetwornika A/C:
a) całkowej INL (Integral Non-Linearity),
b) różniczkowej DNL (Differential Non-Linearity),
są trudne do wyeliminowania
135
Przetwornik cyfrowo analogowy (Digital to Analog
Converter - DAC) - służy do zamiany sygnału dyskret-
nego na sygnał ciągły w czasie.
Cyfrowe słowo
wejściowe
Przetwornik C/A Uwy
N N
b
N -i
i
U = FS " = LSB "
" "b 2
wy i
i
i=1 2 i=1
b = 0 lub 1
i
Uwy
FS
LSB
N
0
0 1 5 6
2 3 4 7
Charakterystyka statyczna przetwornika C/A
136
Przetwornik C/A z rezystorami wagowymi
U
R
0
R 1%
MSB
1
2R 2% R 1%
U
4R 5%
wy
0
2n-1R 10%
LSB
1
" Zmiana rezystancji wejściowych R pozwala
zastosować inny kod wejściowy (BCD lub Hex.),
" wymaga dokładnego zródła napięciowego UR ,
" najmniejsze rezystancje muszą być najdokładniejsze,
" wymaga małej rezystancji kluczy,
" względnie szybki,
" niska rozdzielczość.
137
Przetwornik C/A z drabinką rezystorów R-2R
U
R
0
2R
MSB
1
R
2R
RF
R
U
2R
wy
2R
R
0
2R
LSB
2R
1
" Zmiana rezystancji wejściowych R pozwala
zastosować inny kod wejściowy (BCD lub Hex.),
" wymaga tylko dwóch wartości oporników,
" rezystancje R muszą być precyzyjnie dobrane,
" nie wymaga ścisłej wartości rezystancji R,
" nie wymaga małej rezystancji kluczy,
" względnie szybki,
" wysoka rozdzielczość.
138
Przetwornik C/A z ważeniem prądów
przy użyciu drabinki rezystorów R-2R
+U
R
MSB LSB
Iwy
0 0
1 1
I/2 I/2n-2 I/2n-1
I
Q
R
2R 2R
2R
2R 2R 2R
-U
cc
R
R R R
" krótki czas ustalania przetwornika dzięki
zastosowaniu przełączania prądów,
" dobra liniowość,
" niska impedancja wyjściowa,
" występowanie zakłóceń impulsowych na wyjściu,
" konieczność zwielokrotnienia powierzchni
emiterowych kolejnych tranzystorów,
" krótki czas ustalania przetwornika dzięki
zastosowaniu przełączania prądów,
" jeśli sygnał wyjściowy ma być napięciowy wymaga
konwersji prądu na napięcie.
139
Przetwornik C/A z modulacją szerokości impulsów
b1 bn
MSB LSB
Rejestr wejściowy
Uwy
UK
Komparator cyfrowy
Filtr DP
UR
MSB LSB
zegar
Licznik
UK
T
UR
Tx
t
T
x
U = U "
wy R
T
b1 bn
MSB LSB
Rejestr wejściowy
Uwy
UK
Komparator cyfrowy
Filtr DP
UR
MSB LSB
zegar
Generator losowy
UK
T
Ti
UR
t
"T
i
i
U = U "
wy R
T
140
Uwy
FS
DNL
LSB
INL
N
0
0 1 5 6
2 3 4 7
Błędy nieliniowości całkowej( INL)
i różniczkowej (DNL) przetwornika C/A
Uwy
FS
niemonotoniczność
N
0
0 1 5 6
2 3 4 7
Błąd monotoniczości przetwornika C/A
141
Karty akwizycji danych (DAQ Boards)
Właściwości:
" instalacja bezpośrednio w komputerze,
" dostępne dla wielu komputerów z różnymi
magistralami (ISA, EISA, PCI, PCMCIA, itd.),
" obsługa analogowych i cyfrowych sygnałów
wejściowych i wyjściowych,
" obsługa wejść i wyjść impulsowych
" możliwość filtracji antyaliasingowej sygnałów
" rozdzielczość 8 16 bitów, częstość próbkowania
do 20 MHz,
" możliwość bezpośredniej transmisji do pamięci
mikrokomputera,
" programowalne: częstość próbkowania, oddzielne
wzmocnienie dla każdego kanału pomiarowego,
metoda konwersji danych,
" wyzwalanie rejestracji i/lub taktowanie próbkowania
zewnętrznym sygnałem, ustawianie poziomów i
czasu wyzwalania,
" możliwość współpracy z układ. kondycjonowania,
" możliwość programowania w wielu językach (C,
Pascal, Visual Basic, itp.) pod Windows 98/NT/XP,
Unix, DOS, oraz korzystania z firmowych pakietów
programowania (LabVIEW, LabWindows/CVI, PCI,
HP VEE, TestPoint),
" stosunkowo niski koszt, technologia plug and play.
142
Elementy karty DAQ:
Schemat blokowy komputerowej karty pomiarowej DAQ
Przykład komputerowej karty pomiarowej DAQ
143
Przyrząd wirtualny - inteligentny przyrząd pomiarowy
będący połączeniem sprzętu pomiarowego z
komputerem osobistym ogólnego przeznaczenia,
wyposażonym w oprogramowanie (przyjaznym dla
użytkownika), które umożliwia obsługę przyrządu.
Kategorie przyrządów wirtualnych:
" przyrząd autonomiczny wyposażony w interfejs
(np.: IEC-625, RS232), panel graficzny na ekranie
monitora symulujący płytę czołową,
" karta DAQ lub moduł VXI oraz panel graficzny na
ekranie monitora symulujący płytę czołową,
" komputer wraz z programem symulującym pomiar
lub pobierający dane wejściowe z plików w pamięci
masowej, z innych komputerów, panel graficzny na
ekranie monitora.
Przyrząd
pomiarowy
lub
interfejs
moduł VXI
Oprogramowanie:
lub
LabView, HP VEE,
TestPoint, DesyLab,
Visual Basic,
Karta
Visual C/C++
DAQ
Komputer
+
sterowniki
Wirtualny przyrząd pomiarowy
Struktura wirtualnego przyrządu pomiarowego
144
Porównanie właściwości rzeczywistego i wirtualnego
przyrządu pomiarowego
Tradycyjny Wirtualny
podstawą jest sprzęt podstawą jest
(hardware) oprogramowanie
(software)
konstrukcja producenta konfiguracja użytkownika
ograniczone możliwości przyrząd adaptowalny do
realizacji warunków pomiaru
wyspecjalizowanych funkcji
kosztowny znacznie niższe koszty
zamknięta struktura struktura otwarta, bardzo
(określony zestaw funkcji elastyczna
pomiarowych)
długi cykl życia przyrządu krótki cykl życia przyrządu
(5 10 lat) (1, 2 lata)
wysokie koszty oprogramowanie znacznie
opracowania i wykonania zmniejsza koszty
Przykładowe dodatkowe składniki wirtualnego
przyrządu pomiarowego:
" Karta kondycjonera,
" Karta rozszerzająca,
" Karta z wejściami z izolacją optyczną,
" Karta z wejściami koncentrycznymi BNC.
145


Wyszukiwarka

Podobne podstrony:
wykład 2 SKM sygnali abon [tryb zgodności]
WYKLAD4 Akwizycja sygnałów pomiarowych
Feynmana wyklady z fizyki tom 3 Fizyka kwantowa (osloskop net)
Wykład Sygnały techniki pomiarowe
Wykłady z relatywistycznej mechaniki kwantowej
wyklad 3 SYGNALIZACJA NR 7 [tryb zgodności]
Kryptografia Wykład z podstaw klasycznej kryptografii z elementami kryptografii kwantowej(1)
Wyklad Mechanika Kwantowa Wstep
Wyklad3 sygnaly NTiMP
Wykłady z sygnałów
wyklad 5 sygnaly GPS
Wykład 3 Podstawy mechaniki kwantowej
Wykład 1 Wstęp Stara teoria kwantów
Wykład 1 Wstęp Stara teoria kwantów
10 Programowa obsługa sygnałów analogowych materiały wykładowe
Sieci komputerowe wyklady dr Furtak
Wykład 05 Opadanie i fluidyzacja

więcej podobnych podstron